forked from HyunwooKim2/BiDE
-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathvivado_10289.backup.jou
268 lines (267 loc) · 133 KB
/
vivado_10289.backup.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
#-----------------------------------------------------------
# Vivado v2019.1.1 (64-bit)
# SW Build 2580384 on Sat Jun 29 08:04:45 MDT 2019
# IP Build 2579722 on Sat Jun 29 11:35:40 MDT 2019
# Start of session at: Thu Nov 28 11:04:49 2019
# Process ID: 10289
# Current directory: /home/hwkim/work/pynq-bnn/BNN-PYNQ
# Command line: vivado
# Log file: /home/hwkim/work/pynq-bnn/BNN-PYNQ/vivado.log
# Journal file: /home/hwkim/work/pynq-bnn/BNN-PYNQ/vivado.jou
#-----------------------------------------------------------
start_gui
open_project /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.xpr
update_compile_order -fileset sources_1
open_bd_design {/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.srcs/sources_1/bd/design_1/design_1.bd}
set_property HDL_ATTRIBUTE.DEBUG true [get_bd_nets {BlackBoxJam_0_interrupt }]
save_bd_design
reset_run synth_1
launch_runs synth_1 -jobs 12
wait_on_run synth_1
open_run synth_1 -name synth_1
create_debug_core u_ila_0 ila
set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0]
set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0]
set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0]
connect_debug_port u_ila_0/clk [get_nets [list design_1_i/zynq_ultra_ps_e_0/inst/pl_clk0 ]]
set_property port_width 64 [get_debug_ports u_ila_0/probe0]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe0]
connect_debug_port u_ila_0/probe0 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA[63]} ]]
create_debug_port u_ila_0 probe
set_property port_width 64 [get_debug_ports u_ila_0/probe1]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe1]
connect_debug_port u_ila_0/probe1 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout[63]} ]]
create_debug_port u_ila_0 probe
set_property port_width 64 [get_debug_ports u_ila_0/probe2]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe2]
connect_debug_port u_ila_0/probe2 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR[63]} ]]
create_debug_port u_ila_0 probe
set_property port_width 264 [get_debug_ports u_ila_0/probe3]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe3]
connect_debug_port u_ila_0/probe3 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[63]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[64]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[65]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[66]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[67]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[68]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[69]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[70]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[71]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[72]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[73]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[74]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[75]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[76]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[77]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[78]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[79]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[80]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[81]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[82]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[83]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[84]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[85]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[86]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[87]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[88]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[89]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[90]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[91]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[92]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[93]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[94]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[95]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[96]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[97]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[98]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[99]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[100]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[101]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[102]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[103]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[104]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[105]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[106]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[107]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[108]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[109]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[110]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[111]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[112]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[113]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[114]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[115]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[116]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[117]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[118]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[119]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[120]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[121]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[122]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[123]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[124]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[125]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[126]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[127]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[128]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[129]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[130]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[131]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[132]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[133]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[134]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[135]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[136]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[137]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[138]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[139]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[140]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[141]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[142]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[143]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[144]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[145]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[146]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[147]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[148]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[149]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[150]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[151]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[152]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[153]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[154]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[155]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[156]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[157]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[158]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[159]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[160]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[161]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[162]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[163]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[164]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[165]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[166]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[167]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[168]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[169]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[170]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[171]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[172]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[173]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[174]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[175]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[176]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[177]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[178]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[179]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[180]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[181]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[182]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[183]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[184]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[185]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[186]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[187]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[188]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[189]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[190]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[191]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[192]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[193]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[194]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[195]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[196]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[197]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[198]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[199]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[200]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[201]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[202]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[203]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[204]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[205]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[206]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[207]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[208]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[209]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[210]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[211]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[212]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[213]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[214]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[215]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[216]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[217]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[218]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[219]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[220]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[221]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[222]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[223]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[224]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[225]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[226]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[227]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[228]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[229]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[230]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[231]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[232]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[233]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[234]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[235]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[236]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[237]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[238]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[239]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[240]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[241]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[242]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[243]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[244]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[245]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[246]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[247]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[248]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[249]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[250]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[251]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[252]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[253]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[254]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[255]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[256]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[257]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[258]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[259]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[260]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[261]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[262]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout[263]} ]]
create_debug_port u_ila_0 probe
set_property port_width 64 [get_debug_ports u_ila_0/probe4]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe4]
connect_debug_port u_ila_0/probe4 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din[63]} ]]
create_debug_port u_ila_0 probe
set_property port_width 32 [get_debug_ports u_ila_0/probe5]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe5]
connect_debug_port u_ila_0/probe5 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout[31]} ]]
create_debug_port u_ila_0 probe
set_property port_width 32 [get_debug_ports u_ila_0/probe6]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe6]
connect_debug_port u_ila_0/probe6 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din[31]} ]]
create_debug_port u_ila_0 probe
set_property port_width 64 [get_debug_ports u_ila_0/probe7]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe7]
connect_debug_port u_ila_0/probe7 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout[63]} ]]
create_debug_port u_ila_0 probe
set_property port_width 64 [get_debug_ports u_ila_0/probe8]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe8]
connect_debug_port u_ila_0/probe8 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR[63]} ]]
create_debug_port u_ila_0 probe
set_property port_width 64 [get_debug_ports u_ila_0/probe9]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe9]
connect_debug_port u_ila_0/probe9 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout[63]} ]]
create_debug_port u_ila_0 probe
set_property port_width 64 [get_debug_ports u_ila_0/probe10]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe10]
connect_debug_port u_ila_0/probe10 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[31]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[32]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[33]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[34]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[35]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[36]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[37]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[38]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[39]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[40]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[41]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[42]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[43]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[44]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[45]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[46]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[47]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[48]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[49]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[50]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[51]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[52]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[53]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[54]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[55]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[56]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[57]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[58]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[59]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[60]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[61]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[62]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA[63]} ]]
create_debug_port u_ila_0 probe
set_property port_width 16 [get_debug_ports u_ila_0/probe11]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe11]
connect_debug_port u_ila_0/probe11 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din[15]} ]]
create_debug_port u_ila_0 probe
set_property port_width 24 [get_debug_ports u_ila_0/probe12]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe12]
connect_debug_port u_ila_0/probe12 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout[23]} ]]
create_debug_port u_ila_0 probe
set_property port_width 32 [get_debug_ports u_ila_0/probe13]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe13]
connect_debug_port u_ila_0/probe13 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout[31]} ]]
create_debug_port u_ila_0 probe
set_property port_width 32 [get_debug_ports u_ila_0/probe14]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe14]
connect_debug_port u_ila_0/probe14 [get_nets [list {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[0]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[1]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[2]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[3]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[4]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[5]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[6]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[7]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[8]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[9]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[10]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[11]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[12]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[13]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[14]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[15]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[16]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[17]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[18]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[19]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[20]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[21]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[22]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[23]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[24]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[25]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[26]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[27]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[28]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[29]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[30]} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din[31]} ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe15]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe15]
connect_debug_port u_ila_0/probe15 [get_nets [list design_1_i/BlackBoxJam_0_interrupt ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe16]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe16]
connect_debug_port u_ila_0/probe16 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_empty_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe17]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe17]
connect_debug_port u_ila_0/probe17 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_empty_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe18]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe18]
connect_debug_port u_ila_0/probe18 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_empty_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe19]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe19]
connect_debug_port u_ila_0/probe19 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_empty_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe20]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe20]
connect_debug_port u_ila_0/probe20 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_empty_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe21]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe21]
connect_debug_port u_ila_0/probe21 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_empty_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe22]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe22]
connect_debug_port u_ila_0/probe22 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_read ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe23]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe23]
connect_debug_port u_ila_0/probe23 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_read ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe24]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe24]
connect_debug_port u_ila_0/probe24 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_read ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe25]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe25]
connect_debug_port u_ila_0/probe25 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_read ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe26]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe26]
connect_debug_port u_ila_0/probe26 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_read ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe27]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe27]
connect_debug_port u_ila_0/probe27 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_read ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe28]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe28]
connect_debug_port u_ila_0/probe28 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWVALID ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe29]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe29]
connect_debug_port u_ila_0/probe29 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WVALID ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe30]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe30]
connect_debug_port u_ila_0/probe30 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWVALID ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe31]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe31]
connect_debug_port u_ila_0/probe31 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WVALID ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe32]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe32]
connect_debug_port u_ila_0/probe32 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_empty_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe33]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe33]
connect_debug_port u_ila_0/probe33 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_read ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe34]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe34]
connect_debug_port u_ila_0/probe34 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_full_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe35]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe35]
connect_debug_port u_ila_0/probe35 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_full_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe36]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe36]
connect_debug_port u_ila_0/probe36 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_full_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe37]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe37]
connect_debug_port u_ila_0/probe37 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_full_n ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe38]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe38]
connect_debug_port u_ila_0/probe38 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_write ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe39]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe39]
connect_debug_port u_ila_0/probe39 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_write ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe40]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe40]
connect_debug_port u_ila_0/probe40 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_write ]]
create_debug_port u_ila_0 probe
set_property port_width 1 [get_debug_ports u_ila_0/probe41]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe41]
connect_debug_port u_ila_0/probe41 [get_nets [list design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_write ]]
save_constraints
launch_runs impl_1 -to_step write_bitstream -jobs 12
wait_on_run impl_1
close_design
open_run impl_1
file copy -force /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.runs/impl_1/design_1_wrapper.sysdef /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.sdk/design_1_wrapper.hdf
launch_sdk -workspace /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.sdk -hwspec /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.sdk/design_1_wrapper.hdf
open_hw
connect_hw_server -url 121.155.128.106:3121
current_hw_target [get_hw_targets */xilinx_tcf/Xilinx/88281A]
set_property PARAM.FREQUENCY 15000000 [get_hw_targets */xilinx_tcf/Xilinx/88281A]
open_hw_target
set_property PROGRAM.FILE {/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.runs/impl_1/design_1_wrapper.bit} [get_hw_devices xczu7_0]
set_property PROBES.FILE {/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.runs/impl_1/design_1_wrapper.ltx} [get_hw_devices xczu7_0]
set_property FULL_PROBES.FILE {/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.runs/impl_1/design_1_wrapper.ltx} [get_hw_devices xczu7_0]
current_hw_device [get_hw_devices xczu7_0]
refresh_hw_device [lindex [get_hw_devices xczu7_0] 0]
display_hw_ila_data [ get_hw_ila_data hw_ila_data_1 -of_objects [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"design_1_i/system_ila_0/inst/ila_lib"}]]
display_hw_ila_data [ get_hw_ila_data hw_ila_data_2 -of_objects [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}]]
current_hw_device [get_hw_devices arm_dap_1]
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices arm_dap_1] 0]
current_hw_device [get_hw_devices xczu7_0]
add_wave -into {hw_ila_data_2.wcfg} -radix hex { {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_dout} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_empty_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/in_V_V_read} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_din} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_full_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/infer_category_U0/out_V_V_write} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_dout} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_empty_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/in_V_V_read} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_din} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_full_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U0/out_V_V_write} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_dout} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_empty_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/in_V_V_read} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_din} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_full_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Matrix_Vector_Activa_2_U1_1/out_V_V_write} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_dout} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_empty_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_read} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWADDR} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_AWVALID} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WDATA} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/m_axi_out_V_WVALID} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWADDR} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWVALID} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WDATA} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_WVALID} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_dout} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_empty_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/memOutStrm_V_V_i_read} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_dout} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_empty_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/StreamingDataWidthCo_13_U0/in_V_V_read} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_dout} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_empty_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/in_V_V_read} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_din} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_full_n} {design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/Matrix_Vector_Activa_5_U0/out_V_V_write} {design_1_i/BlackBoxJam_0_interrupt} }
set_property TRIGGER_COMPARE_VALUE eq1'bX [get_hw_probes design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_1_U0/in_V_V_read -of_objects [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}]]
open_bd_design {/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.srcs/sources_1/bd/design_1/design_1.bd}
apply_bd_automation -rule xilinx.com:bd_rule:debug -dict [list \
[get_bd_nets BlackBoxJam_0_interrupt] {PROBE_TYPE "Data and Trigger" CLK_SRC "/zynq_ultra_ps_e_0/pl_clk0" SYSTEM_ILA "Auto" } \
]
ipx::edit_ip_in_project -upgrade true -name BlackBoxJam_v1_0_project -directory /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.tmp/BlackBoxJam_v1_0_project /data_drive/bnn_seg_hls_project_backup/bnn_fpga_dbg_no_log_test_1127/sol1/impl/ip/component.xml
update_compile_order -fileset sources_1
current_project project_1
set_property TRIGGER_COMPARE_VALUE eq1'bR [get_hw_probes design_1_i/BlackBoxJam_0/inst/grp_DoCompute_fu_1130/DoCompute_Block_pro_U0/Stream2Mem_Batch_U0/m_axi_in_V_AWVALID -of_objects [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}] -trigger_now
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xczu7_0] -filter {CELL_NAME=~"u_ila_0"}]]
current_project BlackBoxJam_v1_0_project
set_property core_revision 1911271627 [ipx::current_core]
ipx::update_source_project_archive -component [ipx::current_core]
ipx::create_xgui_files [ipx::current_core]
ipx::update_checksums [ipx::current_core]
ipx::save_core [ipx::current_core]
ipx::move_temp_component_back -component [ipx::current_core]
close_project -delete
update_ip_catalog -rebuild -repo_path /data_drive/bnn_seg_hls_project_backup/bnn_fpga_dbg_no_log_test_1127/sol1/impl/ip
save_wave_config {/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.hw/hw_1/wave/hw_ila_data_1/hw_ila_data_1.wcfg}
save_wave_config {/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.hw/hw_1/wave/hw_ila_data_2/hw_ila_data_2.wcfg}
close_hw
open_bd_design {/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.srcs/sources_1/bd/design_1/design_1.bd}
report_ip_status -name ip_status
upgrade_ip -vlnv xilinx.com:hls:BlackBoxJam:1.0 [get_ips design_1_BlackBoxJam_0_0] -log ip_upgrade.log
export_ip_user_files -of_objects [get_ips design_1_BlackBoxJam_0_0] -no_script -sync -force -quiet
generate_target all [get_files /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.srcs/sources_1/bd/design_1/design_1.bd]
catch { config_ip_cache -export [get_ips -all design_1_BlackBoxJam_0_0] }
catch { config_ip_cache -export [get_ips -all design_1_system_ila_0_1] }
catch { config_ip_cache -export [get_ips -all design_1_auto_ds_0] }
catch { config_ip_cache -export [get_ips -all design_1_auto_pc_0] }
catch { config_ip_cache -export [get_ips -all design_1_auto_ds_1] }
catch { config_ip_cache -export [get_ips -all design_1_auto_pc_1] }
export_ip_user_files -of_objects [get_files /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.srcs/sources_1/bd/design_1/design_1.bd] -no_script -sync -force -quiet
create_ip_run [get_files -of_objects [get_fileset sources_1] /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.srcs/sources_1/bd/design_1/design_1.bd]
launch_runs -jobs 12 {design_1_system_ila_0_1_synth_1 design_1_BlackBoxJam_0_0_synth_1}
export_simulation -of_objects [get_files /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.srcs/sources_1/bd/design_1/design_1.bd] -directory /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.ip_user_files/sim_scripts -ip_user_files_dir /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.ip_user_files -ipstatic_source_dir /home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.ip_user_files/ipstatic -lib_map_path [list {modelsim=/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.cache/compile_simlib/modelsim} {questa=/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.cache/compile_simlib/questa} {ies=/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.cache/compile_simlib/ies} {xcelium=/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.cache/compile_simlib/xcelium} {vcs=/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.cache/compile_simlib/vcs} {riviera=/home/hwkim/work/pynq-bnn/BNN-PYNQ/bnn/src/network/output/vivado/bnn_seg_net_zcu104/project_1/project_1.cache/compile_simlib/riviera}] -use_ip_compiled_libs -force -quiet
report_ip_status -name ip_status
reset_run synth_1
launch_runs synth_1 -jobs 12
wait_on_run synth_1