From a49190b7f4ce582226b15fed80e2604b524a1bb3 Mon Sep 17 00:00:00 2001 From: HERZBERG Dwayne Date: Thu, 23 May 2024 13:53:16 +0200 Subject: [PATCH] clock to 10Mhz --- ENC_demo.cache/wt/project.wpc | 4 +- ENC_demo.cache/wt/synthesis.wdf | 8 +- ENC_demo.cache/wt/webtalk_pa.xml | 4 +- ENC_demo.runs/.jobs/vrs_config_13.xml | 14 + ENC_demo.runs/impl_1/.init_design.begin.rst | 2 +- ENC_demo.runs/impl_1/.opt_design.begin.rst | 2 +- .../impl_1/.phys_opt_design.begin.rst | 2 +- ENC_demo.runs/impl_1/.place_design.begin.rst | 2 +- ENC_demo.runs/impl_1/.route_design.begin.rst | 2 +- ENC_demo.runs/impl_1/.vivado.begin.rst | 17 +- .../impl_1/.write_bitstream.begin.rst | 2 +- ENC_demo.runs/impl_1/PmodENC.bit | Bin 4045669 -> 4045669 bytes ENC_demo.runs/impl_1/PmodENC.tcl | 1 - ENC_demo.runs/impl_1/PmodENC.vdi | 368 ++--- ENC_demo.runs/impl_1/PmodENC_24352.backup.vdi | 692 --------- .../impl_1/PmodENC_bus_skew_routed.rpt | 2 +- .../impl_1/PmodENC_bus_skew_routed.rpx | Bin 1072 -> 1072 bytes .../PmodENC_clock_utilization_routed.rpt | 18 +- .../impl_1/PmodENC_control_sets_placed.rpt | 4 +- ENC_demo.runs/impl_1/PmodENC_drc_opted.rpt | 2 +- ENC_demo.runs/impl_1/PmodENC_drc_routed.rpt | 2 +- ENC_demo.runs/impl_1/PmodENC_io_placed.rpt | 2 +- .../impl_1/PmodENC_methodology_drc_routed.rpt | 2 +- ENC_demo.runs/impl_1/PmodENC_opt.dcp | Bin 248183 -> 248162 bytes ENC_demo.runs/impl_1/PmodENC_physopt.dcp | Bin 263826 -> 263799 bytes ENC_demo.runs/impl_1/PmodENC_placed.dcp | Bin 263517 -> 263493 bytes ENC_demo.runs/impl_1/PmodENC_power_routed.rpt | 24 +- ENC_demo.runs/impl_1/PmodENC_power_routed.rpx | Bin 17153 -> 17058 bytes .../impl_1/PmodENC_power_summary_routed.pb | Bin 872 -> 872 bytes ENC_demo.runs/impl_1/PmodENC_routed.dcp | Bin 269566 -> 269547 bytes .../impl_1/PmodENC_timing_summary_routed.pb | Bin 106 -> 106 bytes .../impl_1/PmodENC_timing_summary_routed.rpt | 1270 ++++++++--------- .../impl_1/PmodENC_timing_summary_routed.rpx | Bin 139207 -> 139192 bytes .../impl_1/PmodENC_utilization_placed.rpt | 2 +- ENC_demo.runs/impl_1/clockInfo.txt | 2 +- ENC_demo.runs/impl_1/gen_run.xml | 6 +- ENC_demo.runs/impl_1/init_design.pb | Bin 2293 -> 2293 bytes ENC_demo.runs/impl_1/opt_design.pb | Bin 13802 -> 13794 bytes ENC_demo.runs/impl_1/phys_opt_design.pb | Bin 2861 -> 2861 bytes ENC_demo.runs/impl_1/place_design.pb | Bin 20200 -> 20203 bytes ENC_demo.runs/impl_1/route_design.pb | Bin 14006 -> 14006 bytes ENC_demo.runs/impl_1/runme.log | 364 ++--- ENC_demo.runs/impl_1/vivado.jou | 4 +- ENC_demo.runs/impl_1/vivado.pb | Bin 112 -> 112 bytes ENC_demo.runs/impl_1/vivado_24352.backup.jou | 14 - ENC_demo.runs/impl_1/write_bitstream.pb | Bin 2354 -> 2354 bytes ENC_demo.runs/synth_1/.vivado.begin.rst | 2 +- ENC_demo.runs/synth_1/PmodENC.dcp | Bin 18244 -> 18217 bytes ENC_demo.runs/synth_1/PmodENC.tcl | 2 - ENC_demo.runs/synth_1/PmodENC.vds | 66 +- .../synth_1/PmodENC_utilization_synth.rpt | 2 +- ENC_demo.runs/synth_1/gen_run.xml | 6 +- ENC_demo.runs/synth_1/runme.log | 62 +- ENC_demo.runs/synth_1/vivado.jou | 4 +- ENC_demo.runs/synth_1/vivado.pb | Bin 25533 -> 25536 bytes ENC_demo.srcs/constrs_1/new/zyboZ7.xdc | 2 +- .../utils_1/imports/synth_1/PmodENC.dcp | Bin 0 -> 18244 bytes ENC_demo.xpr | 9 + 58 files changed, 1143 insertions(+), 1850 deletions(-) create mode 100644 ENC_demo.runs/.jobs/vrs_config_13.xml delete mode 100644 ENC_demo.runs/impl_1/PmodENC_24352.backup.vdi delete mode 100644 ENC_demo.runs/impl_1/vivado_24352.backup.jou create mode 100644 ENC_demo.srcs/utils_1/imports/synth_1/PmodENC.dcp diff --git a/ENC_demo.cache/wt/project.wpc b/ENC_demo.cache/wt/project.wpc index 126168b..def5bdc 100644 --- a/ENC_demo.cache/wt/project.wpc +++ b/ENC_demo.cache/wt/project.wpc @@ -1,4 +1,4 @@ version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:3 -6d6f64655f636f756e7465727c4755494d6f6465:2 +57656254616c6b5472616e736d697373696f6e417474656d70746564:4 +6d6f64655f636f756e7465727c4755494d6f6465:3 eof: diff --git a/ENC_demo.cache/wt/synthesis.wdf b/ENC_demo.cache/wt/synthesis.wdf index 63d454e..4e243cf 100644 --- a/ENC_demo.cache/wt/synthesis.wdf +++ b/ENC_demo.cache/wt/synthesis.wdf @@ -45,7 +45,7 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a343673:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313535362e3239374d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313034362e3233304d42:00:00 -eof:480789834 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a343473:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313536372e3334384d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313035362e3934314d42:00:00 +eof:1170163564 diff --git a/ENC_demo.cache/wt/webtalk_pa.xml b/ENC_demo.cache/wt/webtalk_pa.xml index 5ba0065..14b94df 100644 --- a/ENC_demo.cache/wt/webtalk_pa.xml +++ b/ENC_demo.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +
- +
diff --git a/ENC_demo.runs/.jobs/vrs_config_13.xml b/ENC_demo.runs/.jobs/vrs_config_13.xml new file mode 100644 index 0000000..1a872c7 --- /dev/null +++ b/ENC_demo.runs/.jobs/vrs_config_13.xml @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/ENC_demo.runs/impl_1/.init_design.begin.rst b/ENC_demo.runs/impl_1/.init_design.begin.rst index 3308981..b2a535b 100644 --- a/ENC_demo.runs/impl_1/.init_design.begin.rst +++ b/ENC_demo.runs/impl_1/.init_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/ENC_demo.runs/impl_1/.opt_design.begin.rst b/ENC_demo.runs/impl_1/.opt_design.begin.rst index 3308981..b2a535b 100644 --- a/ENC_demo.runs/impl_1/.opt_design.begin.rst +++ b/ENC_demo.runs/impl_1/.opt_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/ENC_demo.runs/impl_1/.phys_opt_design.begin.rst b/ENC_demo.runs/impl_1/.phys_opt_design.begin.rst index 3308981..b2a535b 100644 --- a/ENC_demo.runs/impl_1/.phys_opt_design.begin.rst +++ b/ENC_demo.runs/impl_1/.phys_opt_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/ENC_demo.runs/impl_1/.place_design.begin.rst b/ENC_demo.runs/impl_1/.place_design.begin.rst index 3308981..b2a535b 100644 --- a/ENC_demo.runs/impl_1/.place_design.begin.rst +++ b/ENC_demo.runs/impl_1/.place_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/ENC_demo.runs/impl_1/.route_design.begin.rst b/ENC_demo.runs/impl_1/.route_design.begin.rst index 3308981..b2a535b 100644 --- a/ENC_demo.runs/impl_1/.route_design.begin.rst +++ b/ENC_demo.runs/impl_1/.route_design.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/ENC_demo.runs/impl_1/.vivado.begin.rst b/ENC_demo.runs/impl_1/.vivado.begin.rst index b21650a..e424f0d 100644 --- a/ENC_demo.runs/impl_1/.vivado.begin.rst +++ b/ENC_demo.runs/impl_1/.vivado.begin.rst @@ -1,20 +1,5 @@ - - - - - - - - - - - - - - - - + diff --git a/ENC_demo.runs/impl_1/.write_bitstream.begin.rst b/ENC_demo.runs/impl_1/.write_bitstream.begin.rst index 3308981..b2a535b 100644 --- a/ENC_demo.runs/impl_1/.write_bitstream.begin.rst +++ b/ENC_demo.runs/impl_1/.write_bitstream.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/ENC_demo.runs/impl_1/PmodENC.bit b/ENC_demo.runs/impl_1/PmodENC.bit index 536008df1d427fff94311b20d6ec66d6ea65a4e6..12a8bf47d47718e4e1b6fe2a99bce0e0edc8ab67 100644 GIT binary patch delta 2195 zcmZ8iZERCj7(VCpuDiSKdhXi7x)!h-!oD1I?Y`KCYqx=DNSMYEjA3j>3W6UjK^B3K zQfcTNLWXlY{7{KMB+?LwX-E?SI75iW9|(~|jnPaKP=5&gaZx19=bWy9-sZXY-1mLn z=Y7w|eS2eOhTZ_i1{}?e?cpY}nXEi_@941aXhPp_r7W7VSjw`cEG=afr7U~ODo$B? z$|^}&M#^%eEN99pJ!`pwm&NVNE;lRb$PUp?`y($^mAU1AxF(#XK|+WL;BtICK14gj z5nFjMyMyj67O$S*4py=Xv8GMN^4xWe*HmNWNPpf!j@E4SNK3JPbFa+VhabygM!YoVH+m~Q3^kgi9T z{k!;Xl6&Yuco$0z!zDEm_%XtgL{2oUw0ttQlsUQ!Ixewb1$Sn*(I^#L+j)TLMnOa? zOF%?cs-6iv<*YZ!gwx2Z$+|p*B;igG}6L=xh)JTZv-5LfMD&{K6g9wvZ)MbVx(lhs+#sSUz?T}%Bxr%xxNbq@T#;##k4uB`8`YV`? zt`H$rZW(DxagaOIiS*86147q zbN5arbAJ&bv$IOBKwtlm?l`3AhYwFqc4i_G%zs?q{s#} zfpMHpIVj3GCiw{_?sq`#L63cO;AaajiCZ1qC*3b0ZeiiiAS7gwuC+0GwkwWo@9{+L^tU0I|53&Dp;761SM delta 2219 zcmZuyU2IfE6rP!TcYF7zo$3Cxr3H3Nk^a$kx7Gep>}{z+5CMTAk=Rfpf+C?!Owbox zDjRwcp@o(K(V~fBiX!L+H{wG})I<|sNPN%`4V7RNBjKr{F|6O*-EIY)?04txneTk( zoVn-Dy*@onuLDCpp8A&7NHf_=mR|gOxW8yPX6&`%7L8jhZfS8#k6Vto<&0Z7am$EX zuDIonTb{V(ja#`Fti14-NBgLcc^*01OY3F(oBVvDk3R3X$6$t&b-(A0dcoCQg4n}# z0WMMb+9mFmUq8!z@Egrxp6*$zd7htwwUH@Zu9!K=D>`3T%G}LhMTFb*LQ22jUTI=> zP9=J2GnFru^J3UEEZ+H5T(xfw z)$@GDj?1^}d4tm=G4K(6C6#6qcS(U77<&+sH8R%39mwO7&i#p9w0xG~@uPY;{%aU7 z!ew4oBbU*bEPamNsrneJ2c=A@x&z8HvxDiaq$Gwc7SG1uSuLG;2JaGg$hj@t zOLaNL3eRGPj>zq;yo43KGo!B~xOsx_Qo*l+psTGw&I2(*24<7?=fNTB9Uv@5VlhmN zB};4haw;+%m!XPn;8jB-$7SwK+jO}r%Igw+w1jd49fe|0j{5x!Zi814SP2nCXSy67 z;XfdY?IIk8EmJljt~H=W@(f1Yx>_J4Ocp14X&bdWNp^Q))3hf*iaK;+FhgzV#}GQ< zAE5{to|PgjM|QOHs7LA80ZjE!yJ)~o4h|G(gB7YT(!=+h3M=-LBU=br6+Cpn?KTPN z0^OwkQ4-!jMaN}4-hH6MNl5xRj|$=Nl46q2L_pubh)*?aD^=SX2r}|*MFrYHLr(V4 z-m)Xq1P^W^l>{z<7m%#aju5E&WzhmgMWq8zBfVw57DeX+Zs82-M(_XzF?6t(qytRc zI6d9o^4OmaZD1cfG(!BJ*a0R&Z|n)x%qe_*Z0ruJ($~QylT-VR+Uct58oqWwVAI_y!rpj zb5D>oFZLA#AErizxKVz(p0{}?$el()QYmwgsIu@>{u@vPRX_-(sl*GSNo9LCFJc~b zB1Cux5e5~c6LS$2r68bFaL_sA_Z!hEbf;o@`-u~+XRv+}D*~m9%AK3A>T6f4;*55i z??y>$(c+Vn`Ga7f{zjT=o2^~lxo&_S&C?Fw8ccO}uMJ0Fy9sg!m7|*>C$8CYDeX4d z-H@6TA`&Jff440sE1v|zbuz;^Oa@b_$sutoLMCsfz*yy#uZ}28`GbF%wg~HCAV69dyZxj6N@$X%pof5_Ybe?S=CPV zLB3XPn`!Tzzj%2zO6Eq${`c+otUeoPb@ni7Qg@D|=NLcDv>1umA@80;!Q5r{YNi?c zRDoq|CXJ_=vkv}0C6Ue1Y;xmFQ=gM57BeuNV`6TimoBo;1oiFdWCgX#ps~EISeMxx zPhoML-1Z*-4YiI?^|={mfeqkHsr!$TWgl?A4$``Cx(R;b?BDci6g20#G$ zfDiBk0U!tz0EIviFb60GN`Se*JYYT$0>VHkumC6n76SJHi-2;V0;mM4fNEedPy^Hg sbwC7&0`))xa6j+>&|SonVL8d980)9J1r$Erb8hG!q zJoe7PH8nB$ZQPP5CbGd=@fmSpuqed!G<1^>*Z@R^xFDlkVo$O1!wQ5GYjU}WWF*~HqD|)ieVWkP65RPbkPHm0PlhI-;?TN z@jD86==O_#e+M_%qRyDLnbQp}j-e%w@l7@Hz40KuUo!ouM}4u?rF^wH16{~IfZRqZUC)B z=P^iZdR~&QBNUJhFPw>{GsysbQ}Bh$7&=ic^|-2vSRb<+Cp@>D59!YO-pksL%jR9` zsV{r|Kk?V49q%lq+glvgYVuBFlXJ0Fo_78kz-znM*PhU+>B$Z6xa|3go^ z!3E)j`j4Ihjro?R(c^*O{o_uP!2>A*sM%IcU-+hncr<+vZ~X9iUm*_tu1elpi;GO& z?YiCm61C=rqD(UEtbdtHExI3!q(kt2m8rspqZ@0&dmVn3r-^;O@04Ir7g$S6>HOV_ z;I}0nCi?K(3We@nu=?>uY^s$6q-o)4cEceXZ+n^)N#b>=?E6uP6^~8|{jo>_0CQiy z+Q}2?`WQAqCX2W;XA$&wflqf9VqnW7Ry--p5hEo+?4|CUbK?AC?1Q*q1lZ9XG#`5_ zbn2WaU5co^D_}koVbBPopJ%Hmw-al7_PxpC(vRzb(7b5ElGz)s(6dpUG~t&zQ+rFasvSnce3 zv)xYlcESc14o7XMoYv6jQhfyx$35`ZfYk4uZfiTG3mNzdT&RoT;*wS?K&4zQ5~@%h z6w=qJspae0Q}{sndrP2200~7Q#m^#c-kIM!@0VZBX3o>MJTa(68FBDg4=eVREVMBP zEMegK!TS4i;bRqG;ilQwIcnmfJBs0QrK*L6(!hO~!Kw30Ci%TdOAy5sL-r%7-#gr1 z<@bi>>(UV8Y{Zw_&%86Dh8`p2V@{ToXD8y=z_s&t-NId;SA3rYz%6yK%qrM9&v)pA zi|h~o1_@z(wq%{tJ)++HD2zEX3l!<*dEj<=Q=ItH-$KHXR5u(3%56^n}_Zp|1$L}K}JU9h|hCTN` zyy~z7sN6myzwo-8r#-}+Nu&$}2&4NxS`}2b1i1ei1IQ_h0h{3G4G}4B=N*u*;MyK7 zy03Vw-UteF3x%h(3XGGdYVo-&wHc1{v=IziSX4RHU1-@~Iye zlUs`KSJFaV(_0>Swyshxt|%P&^zjvBawgv|lJyE_y^7;{LPZ=GE`BsEG^JJK1~YZ^T(B)ez`u;@bye8 zNYqU(RrM-)BQGYe=Y0xeHIVZUrquz=#sH+v-}temfPwffx*Q7%HUU$Rg(Z1bNXC|~ z$xU*V3hmK}>C{waK~@uG|5^Vdm@`=M_w-*(62w?uku)8AftXYcFjx!;&(ERYcuOF) zRsoGxz;n$)Bh5nSRCm-4KOGD6F_;gMum}+{Nv%#Be|pUM7H$=O<92mYf%^Te9p>r` zuSGh#2N1)FA%Qr&T6Bt#De%dL^fNL-CFuUr34eW>>Cl21<1zmo9|HtcQH0H$*Je=_iWD z1$j}dj1duIEf3u7MATG;X0D2Ma&paoATveu0-!X8@j;i4J;VfrBQ}K083fG(~sMq~U1B6Nz(5mDC>aH-0Y zT}OKx^5l-ov|!_@&0RBC*MfLaY~sr)G!DB5Lziu(9*AW(z}9$qs>jmc*+nxkYmz(B z1QaY24^0w)V+>1E#2HuHUX0_>Cbybut`BttYEH;1MyJexW|)L1m%`EdXsaX9W~@Xs zG)m#SR4aC-8kx=2!WfgguraH1h?>NrU(oz3r&9c{T(C@Zidr`SKh-07h~|Tg206}&pf3`DJ`(IX%L=%W)3(HWA+N&C3MKxzGMnTiR>3Ua zi(Mz%iUQQnhbYacr3}KX9}=XBC0?&7qaYMc>WzZm%Gn-8Nmrx&p&t@Mna4BUHQp0g ziZKK;-W6R7SVCDSvF;uuu{Z|v0WB=0SMY5e!qGjGzHHf3zXyFwnN;Yx6?DWkXVFfJp^CdrmcJ*r?G7Ip5R+Mly07Uv)3tC4IMXavKUUAs_hrbm zbMzNJ3YMzgm({a&86U6lu90W1vtG!o`AMx(%UaS--`qh{dC0Li@w(g=`2>G zzDXoGeSmgtZhqG0wU0gb%k-?4`BvRC^XG^g@W!eLPAQ<;MSm+L(v$SRW_F2lP(ybc-Nj$S0DWmR z7I~sCkcS@c8a6FOV+NQG)2gL24js9lPLB;6JqwhW9MsK*9FL}QkG7n%GMRrCv-!g5 z!a&!8BVIh|haP~7tn{VJ$@Jn^>ErP=^m^2X{Z%5Op&4hx!92j7pO=${_6m$eA;h;% zf8i?(b&(|`N66eS{`Sx1?2n^}c4PP4yS z=175y@=i>1yleE?NwT8Rq1SU_VXI#5on8>VssImz@Rdw-}R&WG0( z%+MR}5gy?9{B=t~ax6}0X~lK29mkI{(?g~*(-fm#VuwWfO(J2l;qrh~KsJ1a*k;x{LiI}I6! zNfE`tTd5Q=`muN!`BLL{RxBsS;|URQ{~>a_OV|f77G*gj?s3*5V`u1~VB%m@f^PgV zREBH@Z1xu;SYq~<`J&@)ZqwGj`_~slS%V%c_1Yqyd zR@2hbM3h#S+pw99kRfZ_2)P;7F6{!T#OgUa_c1)-MwgrmRUn4@qV zGJ#r)1nkUB)yYQYtP3H`qRgZNbQ56|>4)&;)aE%~Wg_mNid4dBQU2yuoS-u&Y=81c zkV9w+iG8RtiG0SB;`x_9$w{QWiBOT(t7zK2R9eD?nlp_#b^_BIqR^8;Kqy-q|!<>Az~FUh4U^URD*_5%j8mPR8p$HBKTfA#FCGWNk~rg>CMTE^^g*BX@4dAk4lQ?Q5e z`5Pv3VYnp^6C4uBFsB!p)d{-$OiQQr7r~p3@!wyXzqed~;Xix{GuCAiQBqA!rKA9fX39QB zudy_xGWQf8GE-bOdheziPm7+I&5NKYKFgr-zk7qk_(R3ZhY4a7BlvL&62qzXjHsZ^ z2snBP+n**t6J-wNrf4AYMdHWEU<#1I)dtlXUEydBU^HiF#DH&O-62pc|@ zD@K_=1G$P8ZB_sQQgyf>mTOYx z&Wc-^#6jm4NGvCeRQpJd#3maZqUnkrmMZ~LMOiV5X(Ib@A%e+X`S0wa{bbRqM*rcg z6Uu-P#Hj9epfthwW=(Q}HHeMXdqYe<#N4Nb5J&CgMK@pj*Gpqu8nLcpJYKa`+kS*j z)+JL)D}vH1$+#pFK-q;G+?uclbLJJ9)Oa$!R4li9C79JeuA+HUN-2JvtAkbdcLJM! z`%ehNJo=VZyB;gzi|;4db022ABv*=i6f!Yud%o>>;8}fb8K9~yYEan_$Wll$fAZH- zmj2P(w*B2bVZi*)S*O_L5*c1A54<}>?=HoEwx-)Q>}Wt=-4{ZlcgyHhI(~zUq#r4t z&u;mr7!MZM_c2q^ew<*M#L!P`*J{s_{Nq#QU)~7dy<>(B>v0*QL~8~CP67A2Dc9Fn z`Sn|Qb$8U;vVwpKSm;!hGaiIc7n{6K#Pf|mi*BgJXXEqHsu)#kur@gEt;22q+((&3 zp(e53EeXJu@@|>q3%+h1oS0jUy2qc(Ph9y>%2$@kEyzpbg1$1X9`LB{kf`4Ie|y#d zB_Zi$K?Hm+(FR;mIyf2<`q^2RuSaaGgS1~-4{;`O$#taPuhnZY!h}|!LCVlNU;%7Q zn7av--ZqfDXk@TAQ?o6d00nV45Z^|OL${&R-BD%ra`;<*KPiE`S2V~sE1v8$=5q*rP5vVkYIjno_fN-nWX{X;IS>xS{OoGh<vE5@O2Zh(arlWt zXbyn0t+S2%2OLX*xWrRs1RL=CB#^i~m)D7uQ)Coxdy-tRUMyyYbWyjeiX~AUSC`El z$|+XsMAUSlqJAYbTD(T~3%h3owsltbxGC&$Nu~v`*N6!*y#B%AtKCDPSG-OB6X>D3 zbkeUy)uaHF+N5|x)|}=ITCIqXQa03YD-(e1s3drTO^amXpry-r(n%^@91O{-wTo%V z%t<^Yd?c|(3k_0-dKC$+_KKK1<#l1`!DyfBL~+bKuc$x)O&Zmtl#muU7PQg(BJ93nr6_g{sX22t$&{Ry)6R-tV4syjW`6o@%UfD_Lb_~ z+|c$P{=q4_HO+sx`MWIt=GYG@4F7D|e=X5$hwgKoDby?)x56c6B&0agmtCi7b%Y6c zaU~i4FqeOgxnB>w%{E>4al!5E|GR&H{xAHL_R0W4`XA^Bj}gRx>OX+eJoqu+3@{K7 zHYgAf{6FAR(aynCOkR}1-rm;U<^RKCO$qaS%{%Szq+6;fRulCnRM0B)zjJWWld3z9EC;O_ zN~$tNSr&^`75g!ZLMWg(KP?p_Ln=tk+G6pBhTdZFOYK5w?D_alvIm=TDSl0|l?3jj zx%|naQI6_sP)TJfH>m`xOKR;>2J51O`Rrl`uJm{Nhl`D{6>%}N=s2G&vCB9#b$r^x z>fG+G92GS@*2Wz?HM)Rhm=Sz$E0*=zB12G^9egM}-#mIK3xVx9OVDs^D>fT}b=<(H ziJq~hdiyKQ3UeWhgft}O6A$oRC=C=1R$@lJ7{SaKymcL`rfLFImS-g{9C@YW%ksVK zDwgg>QnQAYbxMK%_OV8@l}l8C+yMd<(Ng)FPZVp-aCBq%=MKlpcwrcbo48g?G* zoWsp@4!4UrVVk6rCt4-QcE)yV6rX7>ugPh{^mOVriEf&E0j-Qq=P|iJB#Ek`ZHXAN z2*>cSCTb9JRfJIH{2gNa6JbO|gmBTQgz{g}E5gw|k6DkKEuS!oRyp3+55H61-4EZ> zmmLcTkRM;YEjB=3cVqWyfv(T_fUd*qL2rq!*?s>(PE?hQ#_6QbD}OiO@69%(b4bLe zYHfRCqRN%E{mdNx{R{$QhmknGU6UgdOQro93j4N17m)<*v1M0KUgLPdI( zaLPhA@T0exK^+1Vf!UkD9UC}ZAMJ72%4L#1(dMT z95tfH{WTpJ;B2~*fEtfP&2lXw6^z$lZc(Z<>rX8!Ax*3$+0ohT2W*w_AgZ-T;9(^v z1TliQ%0>o2QjWy0fHMuLAQoW=L}pfJc$plLZYf-1*Ti|I} ziR8MGhLgfq+FS%?_N6tp|4(3-pE^v=;@}mRE@@-0Jc%z{E&3p(ZWVDi0!PGxky8r` z0xAjMQrw*Pjp|5jG>-NeT=iDP(8L-{*0|aZPQ^{4W7jTrAQ=f=_i@N-t-5i!(TW~k z2W@wWFRVt>)S`!V^^~aw2t|kpVKo8@#HGdQt$AF!(4j4|$FHTzEqdTt1Zl?xqfLW7 zI{>%7$n{Zvd~~`$h9Qyih{EV#DRnbN7eWAVDDj}xuBBdWpLRLo-nG%(Ag@K$d8)!o zlh4K#9b`C3gVnWs_L>>voFXblhA+->45bQA2;{8;W4+cM^WuK|OAwUxTj(x+^(%mA zG2Wih6ZCI2;eb%b?Qdl=J=Z|sJ8r5W)LqHZ+-Vn1Em#} zG)H!*W=(2CM^+f;L=1$Q(jSa2T(ihzZf@niag8|Ie&+d^W1_RyZ`P;;5n_v>uv`+p zhW9h0&aD5%f*@gTif^?$!{CWD{Vy-ztIeQAFJed@*=qLLriJTDS+#4#NQw;I?Dc(H z96P8}hHP@gUIV>+A$Dk+gk|?U74x88=a;t2W6L=g9cl|Zf&tM*C)O6JNRK@$FfEC? z{p`fj;J$u|u6{#_dD&Xr00HtKEC;j?I8o*cGfV8z@Z9=C<1t+L1V>})k(HGh`EW(Xy zM`((08$E1DHnq}*C01{L(AtWrtSiayGQfP_@-|6+yq7x zn7?U9Dc^XbJ-k$TTrh95rz&F=waiYf&|UCDcJTmmG}0q`PlU03Z?@zxb6%M*hCbpSB-TYSey8#jXJD-Ix&sfD~q zzs%}^5Z7z*r z*KA=!c>shXe<>+}c*Q$RNiUJ=^8k}{3%RPAUV-XyTs{piOi4F#(2(oyJ$Wn+>T`{M*P`{5VW&eD)E+bNYiJFrxV zjhFucIv1VzW0WHMI+R+{bQ}aRADy^KcAyeyEu`HfNuxd{H zr0OrPnAU-1eEX1NWX|V^29jYV2_2=Qz)}HXb-0fBiaCGqW^s$jNK#A=HwSG9tAgBS z0E%`Q52AV^Sw$OtlIvncy)v0p*?@Es*>n9!LR5OEka{RrWea(HR3=Y|dg@AfL^ZN@ zk$J0lM3J(tL4X z`HQy+K|!*BFG;h@F+od&<^~yguz(Q`AQ54220cV2tiIZLwC5qft0-YLFJ&r<*8}ml z6oYK`qs#+UFEQlG6yu1J=SPQupJAo>-{*DIL>z2p<>Lsssxvfid&W&Kf>sJvagc$< zoexAJcjOJs*TA{6yqg&&fz4z#xWJ z0Ezs3Aa@6RA?x0jbzY$7J~Zv{&AU5`AS3<(DIt3Q>$FgjkvLctaY3rT4)SYwz8)Fo zf>`wRPqgW&%6Z0bA;=i@MQQC^x-S$-6@YPLxftsq4uWNRup|-M@P-gyL4#)b$(AN_ zmP}X`<&uTUsSl>XZ;VikR6@UXf%nJFyg#ALyYu&&u3U*{QSjF7=&0V90#{>e3Q+!3 zqy}QYAmgRsuR_E(6vtf3W_s@&+ob9USb1|8Jq;o8%MAz(SD<(+s5@kN97d^fmjOww zw+HyVyj|*4 z%LpdRr#|&tcZ6hA+y!Xa<@!~;FjU?xklPTdX}U~oNTCaKm|iEt80f*QJ#Cld%L(dQ zH=VyikkEW?3x&dH(BL(4iiTA28A;3VAxm?5vQTXwPz#+SC0wJ_ZRA+r62;8d0wc1=EQ7V6B z+M(D0Q>V@YqO_5cEog6d>hyfQhNBeGdz8wJkI7=`$-`AD<(( zVS8=$oM+gyHFC&sXuWHj=Gy$bd6a$qY1cUG{>|ZK!3)TmZp!IXyIDUFp1NuZ_~H23 zb7vz`Ao_J`$rP^K4gv-EwqUn}|I0e+1fc}{YV2VtIOJ~D3pRMSO4|Pp-XB6L+Api? zd6REFYS?kk_>StL0q*b2;#w=jN-GFl<|wtCf4fKJ28MSDpv^pZ0m!s30;(x zRVlsRj70c!@(jaV=agp6?OZ=Z6jaZ2W}^iKlpw)`uOOY{enuT2zuQ?!osXSI=}O4% zRvZ3|MAD3n4n*PV-VLE=4E?8xhYJh12&@||M32@Pj9tRy8YD9L@N%CYa^96DwI&*) zb-5j>`H{}rDeICF%eHZS)SmD6xpqcItwKwnr;(fc>w39ilw$3(=JLsl%f)Z82r*c% zK<#kxts;S`@q8IT&2?z6+c;VG_Qw^EhMl=P4?9!jaCpsh-HyCZE|L3>!u4Y{OT)N@ zH%ttiz%q`gewT)a2cC~c$*GGPF1J!;R9R<)R{zaYO+sv;SD?+T;qP5(?U zmOdUO_b|JEg<^~AMk8KT^%^(u#rA=vlRfaaMI;pK%GNMkus(4he6tDPRIlHT1HP9f z)b%EBvn_IVF?SQ00>6f)N9SVjPbn}JhNLTFEI8CzBVy0<;BAID>0`P(y`TNK=I|J> zzofgiHE?$UaCEubKcpjAi-t>fD8ucY%v)o``99*EjR;`Jv+pDZRsR5^g~WxLIje%wcIl=*A;?e#(Zrpg&RqHvbh2cUq^D)wrybaT~jXhasXZ%ON<>_3G?&n~&7F zp9WipD05t-NLNu~el$5d75LStyEYN#%8myd&1N6uGyG+60no1e^pLv3;Igq4KB;pk z1c>hNT#1Bbd#YO|bS@ZiwASN@5u}jD;j)h(fDe#AW5WKG<_OVl_1$d0&s<|{FL5tI zc52$yL)*QGe&s!=D}3YN-EkPX&3|*Z@a;zyj6DG76)+qT6uHNreak2HgByu*_nnWN zD}0l$_;Kt@<`mS5`JsxuP27kXt^5&11MFT$d%VZxzY*~6yzGkK_sn{h{V+w|HXYji zKterlj;n8>K!QtM%pW+h$HPmk@bN=^|4rc{gOfBSDImjp%i@JX9Q1@5wIE~0P&(ZI zkQR^2dedkd2HvkGvy_&dfoSwY{k~=hB!J2d+Vg_a_umtP(huA-gVGPkhZ``00>lbA zq6L~D;f3v~LFotWxk33s3_wHqAq+4Qc@`nRz$7K|;wY)2`ff)0uL5O)`)x<=oFoNX z(q%r(Rjh3KG365m0z*L%bAb<7LCp#|Vg$M%bwdvzfjJ1@ae&6SqSRkVB0UwZjdd1E5BU)BOz>0|&5izf0i<(7@QCc7pN|2H3z@k#s}$ zaKKiH+kpo@khX&NFu(|f9B~5?iPJ;&NTF_o95DmAp-zZ3{r60u1OoO{pl*cku)*>~ z>!Aj|#MemiB<-7%E-BK*+?1hLrTBRGhQsL3?!X0HJA!ZjG7PjkStW3*0&bn?2j96D z?6%;;uS>QqLbjB|Y(?4|_JIl6+e^Nid=YG$;+VeBRNU7qgn=a3B++yf}&Bk|JUBUM~xvD-#(xbeuGhHO} z)4UH0d2V?%J#*m2(2Q;YipvsF6dYcYn zxgNBic0LYmlcl4J#%ek7owd@h?WBiTvBK8Ox@U)Qkisr;!Lnad!P+TeSO@0Qy+JoJ zZC}y7Ibj69)6s3X6l|}@Hu>LdW8}CLl;WES`!_10f|(KD4bahX02sg=F?cSTknZO) zw9Xt`59z`akpr3(0ZXxsL?T+{(1DFepN1Io`7^U3NEX7bFb5;WO%QESHI~d;>NTyk z@542JLCp_%a;~|Y^!}AZUDZo#XP|Q8e-5htu$UI^%(?cACzuHD4|-aGUj&6;)DRqR z?7@5D*}NzE{f{5=ybiY*5$9LsWwC)XJvT3Q`DHQTg((t%M~-mogkynYmA3QARoptN zEE%2sz-sMLb$`fbr=B|~(x+=(w?MOcye_WMVHdCaj$pT2Co6xMt%53Gc^q2#&C1`5 zUC5T*RLhO}KIKe=Cuxyw#XgA5zTv2%9Ui&MP0OBv-?^_t`VBg^#5GjYN)}ChsWP?^ zJ${yIL5v@eGD%foDy}fn5{x7B4;(784i-`q5N>(L8${ukq2swg!WSvj7LmHtw$>BF zhPO~X48RptP*~#oEz3WL?4$4G`9kPJp*rNuKC^hw*Ki0PX3{p4aGl*Pbj5jfhb!b4 z@l;q#V{I!JV+nmUvG_pJVD?3>Z&G2dT%K!8?HvKoeCjJjjc9D{mbK-+sppHLJ8U?L z>@lVp<%m(uke{O+E^QoAWns*-l-8K0&3^W#=9EahHs;Hi&^9$VcG~9ZWPEF*K z??0FhUt3ZW<20@Ml~GpR$Xha}*?O9*o&gUkKI~l#wa+k$KH%xz;1xZAvOT5cJ*Da1 zaw@wHvQ_D878#b{Ot8&(LS+YGM!}>v~8mIT2lkNk3VvM8iBYkpo z>f6oFP=|6K2o1_^&gThBYt4PdFUCGFx5_>Z+s&sHJ!|$GM%Ik2SuY?hn45As1%Mah zE-Q)h|IklXY0JC+rAq(vouom-&4Eu}W1Znm=E>Mr;}fevvyJ>Dws!Q8E+#c1rqV1p zbDpJt6)v-^S)^Ju=VsrNBxSZT>@dR21DT>+8&%`%xU0E81HfQuV#EZ0& zPlM(`Lz?*Yh_TGD{%d&;KrO{VO{SjpL_j;#eV3I*NAth`4v`Dx82Wg}{Y9_dA! z^u@aU+Jd%Ko^iCWdB7O=$(Sxun9e;y}1M1a6|Hjb8 zSN7lA!&ih(U2tTL;)?n|bzdXOJ$Y@yPcra0>Z&k*fdAV96o08IT>BTBrGoo!2hQ_<4ZyVQQqX6BPOF`$1t{xG&AMW@yqOoWP4P0u_dm2wDaz9cLwzcitQ9=MM6dr4MPHqq)de1fLp<~G4 zQSeWtwdLaQlRYj_#QWA$#Wl9s(2t=c(^|?iV+W_3J_l_$Sn!~O+EN;R5IXeDEpnCi zw{b)hsjgalKB|u&o$;fw+7`7F)LYC8BcIXU2%DNqpB~Afv2tlkRo~sjmg9lo=AH!ZBV1;LQBD{nse=BJ`|d&esPhyy z8NN{)y=3#SA$XbzqD+7?Pg`yucl>&Hd8pLcBbcL4P63#FA9g}o*x$t(`joy| zCo}*fRtD0ccqe4|GIWoepgM1xr=(6lQvKMO4r?)qCD>NMJRUecUMRQrU zOe}%wudi&o0$k(!x@&g#eV|#Tn(FC>$Jcg&1<M;p9XoL4>1d_*U%8opC(ddai_F#I5+gLJ+GdeT1Dut?(J=>5@L=l7v?^~(}(yTb4yAX=>KT+hs;&d_E^#C}%Y3K95(CU~68QcIP zTNgb45XUEs264kk&628^J|#`zPxeYVlF0Jkw;N-}uzidN>TyGAJFSMw%5D5vq`oDs z#Txu(#-f{&nt3JhukN-rbCH7wseFQH>{uADRCgtl;aV~|vY4%|Y7g3Wu}NActsnRl zwQR^wb`70!TSg(2R~zy?oC@Po4X*(1=c7?s)v1b1Mdu1DCjsWmG>Q8MwR?&y@4)b9 zgZx-wiEo+@T0(;OYHpK3``W44Df8K@+L|=-8g~*+*c1P{bZhqc(jNsVi@c8nm1c=b zqy<#io74uB#PsfR=W6zqeCj`JL!STyA&`)~9jSRC}aOGGWCO;H7Z%RHrg6~y*DR=Vv zqqMOSJ|_VF+O;V|*Xxgoq!cG_Y%{-3CH%Zft#p$sNp-^BK(pp%xgVr zfpRs3_RL8HDZvAadT1x$^6lqA+dWI34RXU#PT!SUmujmyB?Q(mAQiCa@96PIUDxJz0k`rmbc+0b zpEm)ka0m>&N%Y&@8xHr)YM&8llj_94X*!5aSe&ERyBul)m5Fc%7 zGb7S0o`bPL6XtX|5*pQn)S-99c|}nJti|53BFd{h!cGz@qbq=paBKL>KPxEbL{y~f z9pdZ>g{AX&9cDC9fISVrPrFag)LmyEaujfMP$A#h?M-s2oiK2~`*DT!u^o;Xa??n$ zLz6sqy4#doT^jD3YFvi}3`20<;(Q<57@V|?&RcVs^9oK-2*nFR#gnS)c~(oi(yJm2 zJrx-k>N zLX>P8;Gl9W&+;_@CtRrVHt67+JCf!=W8x^6OsX_T)=2kmU!&*2AMrTMYm|#fvr*ya zw$Fc&u6n*C)5Be_#o!=bYIzw3iaGdv_aCXc%%{UWWYj@2l(~ z9&WJ`xAmyEgTkqdU@9H|Anm}>qSZvvrBg8&-2(_eeU!^Txu%35af|)VPH+7{!7h^2 z1ov%P211Ur4E*&mq5oz-kFsrZ~c3o1N zeD$j+KPBBJJj4osqx%hU&ig7in)w28N!>;Gq~<%Mn+q-YpZ-LG-H@mf@ulPzBJ~c@ zhyhR)U`?3e1Hb5qrHY@iGcAb1SZcho)VlP_AZn`-E@C41s}rUgvSomALBsqu3$gqc z2szUzQ}GH7IFzzNlUAAKHA5F_!$%S9BBVn_fTw@)i~6=F?IQPBi!cOb+{s%wlf4u> z(%Ag+EfxZs2_nEnE5x1TUGq@TV|K@a7^+V%Nb>bGeK)La5H8XEu<+ChzY^(P=?~An~KWy zI^VFTl|GN3A2ybbZtMlXYwB7CGX-4U?&w+n2J*cF%}o^5dGM(r6D>B`M>F814wDx1d%`a8|X31NT!PTF-Ljp7=D$4LU z!pqnm%404+fcw?{FeWO9T8V_`sUzsgTI(CkgEbRBo>g_bq{~RPDO3hV!mSxIbgVvY zEKmm{O~LGkTZDv8z36IdoKZJs;X5=DToi+|{X37-9}pq21AEJr5u2!#60aOL8pV`` zi<38(PbVJ`5l1H!7DotCvjV{XH%Bb7qazQH{c8|#z`@+n`c)TthR#cA#iELZbm3Ud zNDld_lTZuzS${@g4}yYP`>wLV;i>ya(e8-=s?Q5HBkFN_^xZO?EROA9oC;Z$4DSW{ z#Mvn$*KcEHJfSP@dy)m(2{|e(7y0Y+Ftp=o_G`lVxZ&6E>KOG(Vt}PAGz>s97aUeZ zShu7u&Wcvs^Ac6_?mB#jzs#leAX>Tu-fk4uS3J77|8|p_w<63>4vaf(sxsOhZb=s| zUslZ5#G96>F1*%GT2#-m(=Mi*UBDj&tlptnJo%m%1-!woHCHc|M@ z?@6vL$b@(*vY;QBU=%&45x;UY)M4UNcJsjPRbg_mMCKzTV(kL=bW$3 z_vyW^_n-HHuEn?}tV@4ozLP|s?aN?LT8Xzb*(wV3p{OoEnw~m+ zww|703oquY|GUBNtDI89UR?Rwgub&+EYk*HjiL@hgmS%%$%~ZF7pSeoioU*ZQParj zb~w{UAmC=spN|=eUE)33E!1MKu9XiD(b~cK)R}Gio6@pTVt4drc0mSOlV#>Cn?CSO zm5F)l04^CIIbjQA%Ng<^aW2?(6*0UWseHMD_V?H-|FJ;6odYsqPqX}`ymqVXGo)DxBK9Z8@*qKe}ko8OpxtPpbMub$mC zwULaDWm$rtp3^#8D6Yi2d3Ax(LlUWMr}}0?V&%(OdrZpGHq$HRr_`Kq@0IMZ$VG4d z(QOLLnTQHChf1 zKsjomY5iLIxl#8y)$;NppI!<&-k4}_n-DuN#6D06tuB_!lxb2x5RSbySZ-Z!l6NoB zQ#gvT3Vqw~^q@b*olHri6NQgZP`77!&Fuh<*IYUy*HMeY8Ud$?*65^x&s8mv+AP8D z4{!X5+JFf(O}d1PItJ3V%yX0*f8Lu+E-R6>lY$x#-a*%@PxW?eMrlGi%~hLW^zKSy z4v;KAQ@{R16{WK)EDpE^89qW8+)z7AJmG`Y^u?*{z*Nz!3!}Q*rEGh096sI8Zdw-z z@bjpSI&eSF=lDpOAfxIzdBsRmiSDCj$TFn`s=7x*)SdHH4CnB+>S9|t-$zJC28A4) za)#vtX9-VZKm8SnmF}~Bp?Gv}l5~Wo&&`^6KD1jG^pnGYr^wK=cEf&OD}gpko#KIT zSwZV3z^G3ECzFvXqpFYX$ zp|nL1nqPwM+A0gw;pntOr@nvD%RtZ}fIZ)gL$AhgBImax0XS;6d`4gSmdwdDD<|8^ zOloGf21(5R{T%W~D5j0>cK#cS@)?@6sEgq}VV)6oTq)-kF2MM^@=DLrQVwn^*wM;0 zy%uzlxZM@FDcR~n7|^N?Rmf|#W6Jt+Hn}QM!V4&lyikN~o0i@vy(OY}&WFQ;esT93 zaUO)t&CFV6?5yy3Cv?%D4ZHGgQMn>x`yTBXHW-`6a$_?M&jN26HQ-^_qu0}61t?b9 zn^rbcmt!_Bp%%5G@v1Emn%1`=JKAuvtm_sJbJpf`lI^C|%{(O4L`VB29p74>`EFYD zwA4kTCgY>EtzpED=$WOFllj7a?4@ih0Yd}}3l8Enu86+if+Uu@AjJr2E)}^{$0sOu zScSDpT*lseH?#esg$nIng+%4Y4PC=7ks*Hq|d!^}f zVLEipbqaMf3)oy0L+g}RIA15%Nv`8WB>+oju6``slK1CQoBVrif60%pQof=B9$5%x z^L(+8SH^<0?OV0qZ^1E!u)wm_K{rM<3nMrObyl&+*El3^ulKbYlTRJ4UlA$ivgw@)?p`!>7cdDk zsQi6=z{e=1qd6f^bYam8M0V{JhcJtI)uY0I?2`_D9jibEN_FX8UtR|`_f7PmqF=}Jxi_6bv z#8b)lPgYiwbc|kMoNNCgYusypN)4~@^u0zF3G}AMB9p54M_dNizL<~8X6kQxc7V6U z+f-6-)iPNYtcwyN!-fa89^_Ypev@10T^h&ay_}hm#ikc`W54go)YXfbZWm3}$upGI zal-NJMB~Dis!mFN+H70n;i0v;)dacV&AeD%8q7v)&91MLN!CX1cH%gcHRTh;vBvE2 zqP5S+`F?$oIn9yUS_@8V)Mkk3gv5$=p_f=&cF2P67?^qFewNH0!J=V{UFhxBzfQn! zhc3XvNwQ2i7>_ytCPk>V%X;c?Cir%hrDD4N-I z^1=~Mk(zyAk%UNJow!rnBph{7s$hpr+U=GmU3)J!=`788b>{HTed)d|+k0VS&-jTDFIPhDSUL^gT|ALy zDpnY1Jh213ouir1K`EI56?0HF%nwcp=5@O^t4qUJ+y1>MxS)o9{7KZ{X{St9D}mDT zG2#OS0zYSDN^>1bZ z3SX({4cwonmYJ>pk^UY%>kZ%Uv|;3fchby(p{C3#gBCzmaehIp0otSCDXg1Mrf`8G z6smzTdv|vTGU9AOM1RiBG%VR*&|iwX@Ww*i_U{!uaSFrS=yFeM8p^&VBelgbT zDTVzUgecrqacg;V=I&P4B>qYX!z6AE6@mwa@0YDq4F~U0{x}CQkVe3T1A3NHBj6PI zfohX+6F}hL1F8uiOn%7MI*=E`R5Szl*bc~42d};sIcZ$~EkK4@ZU(@PYm;m_zAc>8 z2KoP(e~Cr)v;d&TAv3lDM31#TU#;UipRfKT9=9LGRRG)<-?5 zj0tN8oH=e36}OWX_U{e(W{Tm2rPg3#w zDQ-&Ah&h;gK(Z7{GO~Nk0J6&>WGZ6nm;C(#RMmuBH9U})q_p%5orLsn2DrL-dj$k2 UD_!!FGBJdCB2857R5WD&1DC09Qvd(} delta 20555 zcmZ6y1ytZXw6=@8JA=EsyZhko?(R;J!5Q4$-JQWgpZSpkTPegu7LMvGvxHH?W*+OboNbUPh0FD|Tgi-^$lLsJR+@`qn|4e3=Q#3 zOM<8e(g@opyRO*=FX$(UV#6U8xtUB78L3k(%EOPW7%!cllYs7e&a!uq zLrN2KWgRE9v=45Y=;rVzpP)?Cxi7jx}p5eV7V4Z5@NOhG4;J5aKbo-{6Gt z!BU~=xelJ!G_kB&!MVdadyT$N1lArl$H?owMFhTPm+@qR=TW_LM@%r)tjc7TL|xk-aPmn+v&9 zS!W{Tyearu z`iB&}**@)5P=6R3NTgNQcD%6u8QjFWP@kUb8yB(@dwK<_1D)-KXT3qQGrm6ieAzvl zzBT|NCgx6<{&!8oQ7<4v1p!I&1;YaqpiW8$BLMTWO=<%p|Cb8c0t?>)18v&^13Sg} zC#Q|#{g0k@g$Kq3^ItvvesDjY#()oo|Ia#24j-%t=-{YQDRLK_9O%>rOfCUJfxqE< zJ?gSf7g7@A*IQ3lpCm2#Kayma^|jtCC+572C9t5o-%6Gcpi&RCV}191s4z!fy|&1( z>4+{SWVZx$p@kfZ21#Ce*kQ3gh?c$I3e9y9GGxTD8^lfJDyWF0E)ZV6k-L+>$z8xdS7E9%EMSbnTExeMXHAUDmKHRA|CDf4Sr zB}kLf;cO}nI(t3YrIb#L*)!Wh?MN<^D`DUO>_((J&<73f&I?tIgG2XXM*ddrI+~YI zRTOZo$~R{6Awyp5hV^VVOV*E!>nq)>w2gT3*!>r}0E*U_l=6w>VRfzgw^)n*K!Y~l zg*UeaQ_W+$bxy~{D`|UtL_E#G(rV)a>s2+BtmnYb-C{vUgO*n6$C9u`L<8h%$!cG!{t>fh4ot6UX3k zWI(#kOO5J+^(ynn_!qc;PFL!xkv=`~fp?Odyl#?n_?G1X*g1hIS#=yF25%?NSG`1N zGuRZqJ74g}UnS2S@-vU)dnl1IFLn^X8WmkR2{`?!=qB`$6VN+-so(>Y#RoMlpol(f zXT+G7La=0oBKO4`c=Hg5ycoEa!fkQs} zVT=B@>c@m#a-#Z;dlp-;!;rVl%P*2*xz2?vb5YIi5Z{ef0=~C{z(i3qf;Jz$s@sxw zv7klzb}{J&yghdr9_Y4Ko=CuDsDhAACi^2MH&Uj9o5s;!Md5Mxv&$m@39aKQ_vziR zFxp+vqU6tBTd0r$BjIb&ng@qh_uT1HS5ziM4kXC!f+NfGJnyMLzZTWUa{IJ5aL0@k zR#vCw)Okiw1sNBa-EBe|tIzYNlawc+m?;l=c*lREjK(1^+pnQF5gnMn41QSsJ^t%q zGvp1drc`{A@bAR`7M&)Dv`4Iy#lJ*ly%*q=$ z^=6FqS?WY;@lTYL(L5k$w&u>QO2>_BQLmLp$)M!q4nrSvsD?@|-cT7k)jPl(vlp?& z+Pt_Yk&^)NwXgwAH6q)uK$Wmwl!*s{9%c7O?%;xJ0Iv_D;>^eCNs716QC@8B$in?U z2{YH=sH{ly=u~}&x*NuN{6g`QIA%4GaBpIzxACACRp$#y3Ro~2)lZ#fL;ps^8gyb^ zmJyP+u77lySfR#nU}hmVk)D^qLL+P`>;m}!N#_5ZG`$EVhF>B@kFXy;Sql;&ZS>V= z5G>XjbfsB%tvS$AC*MRT9}eR=x$SGy>SP$+yBIS3cZtjf_vM)ZTkgqIS>{bbNy zKTQ*SeQ`~gJKz~5j1(rQ?Tt;JB$0}+RCG5D`-e1RA%pn)Z&Ao7dPikABpo?r^JQ}x zaHFU0J202&T1LxPEws}0cxK2W>=A8zO7Rq{q_3(iNyyI*T_pj{}1@p-7Tq(cpA9i^Y`HWv#y0(-WySqof`l{}VLXAWEYemLkAF z7m@P){I}LxaV(Dpwf;nXqopQfLn2Qm4qa9O%Ltr1=086gm4AK%6vN}Cy8ryZk#IF+ zVi)@}87X7t3AM%31B{Yi5=H4>N~+Kh?}-F~2rP-<*QsWZHM~}p?vt6tU+l1>KI#gn zt|Mivvt5}C)9gv0y#nzQ_3Nr3th?Yr>bRq|Ym-W1VdQ>Ex$Hb#k<_(xdfvO>@H7Pc z(!A1LfyHS9@Y27cssqVrh{smEKxO79;o4Qczy>MizKy$jfsTRDF%TnBuqk38lCPNp zEQ7?%8u*A{+Mox-!V+nieGU*3OnV&)&BLm}RyDEGPL;#VWMN?RLmS42 z$XAi#SIu(`)dj+4YMM?0+n~JnfJdvG?bn@LhP~lEQVZ9Dz3W$|X2sH;%Nptd&=mP$ z`w(?QfmWkXck(fNs_sWs?76f;rXJ8l9@bH=@BScYUYy z$?(vJev;E9_15Bj_|hmRZjtl>PnmdUZKj08?u1mya{)U3=*g9aY#Zw#1 z2kZ+mQNZC+#Rq`C7<6l7(o?fwhw3#hS-WM`lmc6Pmo2qyk;BuGm#fpA+KZhV3J(hu zLYJORC-*12TUOjiqX{3iLilK^Z(H1~?xYJZcYl9e4Eb|;j6v5oW@$MCR-L&ycqy13 zU>RkiJ(_hVpM$X083PK$Y(k??XYR)UHwlC4Dy*3U^uMF?ej-y9n=4W2iGNRGF?Z|Q zxGi#>{#-v!2%J-NZB*#isQZ>6KOFVrLSZCS{q33iExb$JIK(W8g_xvs;nrZQ6qrfs z45s>X%pL&k^f`%kNq42H{HLcn1&CEfr4dY97V%?4$q!rEaqnFYM}JHGC*pUKwe_dX$#+2C;H^f)Ofp2m zBf>9%UfM8MfCKCHMk>(F@Vlx#Q9m!QFg5*!S7#+!2G*g=k=#VG>eC@A!Szc|PnUvf z2Isf?Vy-clQ!rD+RW%klG8;`2Bj`Nyd6`$N9K$&`Lg7V3nt}r`jZ|jqz$Rf|)rDz9 z%1QC4a5HROCSP61Tv0mzBI)$>nkP(i;wBoiX1!@#vj7;aO6AFD9vfzw(;2oV7B!@U zlcn|WreT{D{q)vxnd#aZ0vPh~0*5lAgf^dOs1yS6kr5Z_n44V{I^x7S@+^3c{c>^|5R(u1 zBlNMbYQP+AQ(FG?Md&S9L)sx~33bXqp&F#}NrxzgalOP@BDq~MIc?!@LQG|xa4bv~ zE^8}t6M2+`Tsm=#R6aV1e_tKosv|JWzb9_;fmle^$!)1QCb$yGs3}_LEKKkP2|t=I`#!O6-(cWKz5(%l`mO$P ziDK@n-tdesoEO5lPW%Y5L_swq4cuB5Km+HVlusZerf-`3e_vEBRuzDGaqN;?MxV=amW0jW?CY(@A3L79A=U4j3Br!sh ztgQdfQZxU12ob!-c3Tn){8z?Udnl9C2;)2S_-*tJ26z$dRt_ASof0Nm17b?ymP>3- zr7fos^j3y-V-h>Esz>q27|@{l$P0Cm--#pdkw|Vf9!oxg&!-;D{?AI;sxhgU5Ygk- zmd`(q!-y*YynZHi{k(O%8Rg&bxAdVqy;X)c$qP1-l!GhRVHCuYsfHp@{VEx>bO3w- z9IY?WR~6Uj;A!K=@g`sB1aR9be6&VG(#?V51K)8>`tQ~Bw1EH&@2mts%XI4;m`}#8 zQjqte=5{-(eUcI&fPJ~7&)tmVO%&|`8(Zhw)@9y4OYb?qL2g{q^f(Snm}NMy^YDl| zSImF;N|jxBQqgrrJ}u1l9fm?o(6|vm4|cRDeuleU+MND^RdF-3lBA7RzX;_(vb*s~ZR+xYhCb z)Fa?Rogni$?-Fi4Xt1V*1wCnyVhHl6%~~HXhAsYM%%-nsWq^5p3X8W#pJTC8PQ6}| z`!MYA76QQI;q2fj^M}e%DkAe)63+zjHwPi3%I3c><{la%*c_uAU>u45UZQMJNy8qm znZ3o~73qqwaVTM-OwF_e0XIsg@b<0iH$scNfmvhdoyt^GVAp;_JQUOI?Hi}}9RDbX z(rb`2U5N~-b>)~a?23$ZeTIV0WlHsLQPoV?uV#RS(zG~uv_*$p+qjwMP{vg*ObRl= zwuPs0-okY>32G?5N(VDSuSz`uli{4SEXhMz%=y6Zm)X4J2?*zlx?CxP>5&J-v8?k| zIaSeY;!cj)x`W1*D!bR^f9aEZkb#MM^_tB-s~fZ;=d7;8m^_F^=iy`U`NOtwSOL=@ zpxt6svoU_va2yE~%A)rf-YlP>Kc-)nQzt|Otu!WxR%6Wqh90wWY zxn${iMW+{%YN_RF_7wSUXV1VIMS-&4&G^y~a)%TR6}<{ur~9qXCf-tR z%W;{hsPoOJr=Br*#HdRVH7%^)&y7nS$fcRnSr`j_790D)*(BEx&~@^fr>nVg=`eD= z>Bn!YZ_cj2!O-iu)A{Kvs=oVtTKVSLyY?rF{Xdw}Zx`Su+`aTBkS+I^jQ*X;`!9LXAz1$})5;cuZ7p ziskWV%hZ42EPk4H{x$MDUi)i^4|VPIZ~b4OGwq2HjO;&jgwF(KNc|sBI!d$Eo&p5{ z!375aLHq|kmFykOBoxFL9USZ&T>oGEnO4LECINjkL$z}3=bd)V1V#Y}O?@bHBRdL) zF9_J{RC2>(JF^?+GbDpH<-2r)jH^%I8hLp!rz3=od>BA?d?kW-E2e0St)0$ItVlVM z6r)jH^O;stp~UQw&k}&cUc@QWRK9!r1r^qi3s8LwHfd5T`M$D7T9`FSS~zp(xMroW zVy3E;DsY)s)+{Ga@Z$n1kp9hf2^s8KB_5QQwev2NTZScs_Iic}@@qv2tlrHklyRKN zQ`sl?#yOQ&&=Zw9e`0tuGny6^ET_u@*j!W39H?Iou4ZZ=OG4u*5lHTtLnle7iiHiv z4TS)JtDu+F>`}!DF|A|u zLq-Xb`wPESw~VZ2d&}9Hr488BWU9yOBdLC!;(dYq-^?&AfCY^7-%V>PWC0Tb{~rv9 z{Hp&g?Uen0Fkl-r2bdou_fU1MzD6_^p^8YHmllOm058vShYun)khg5?7uU;(S%7@gYT}FWu0RQpt6fNHvJ$2kX zwi(B(uIcQx5e5}}!q7@#Pm9(|4MNtLoQ8WX3yTQ{I=wWH0y;U}Uq=*z8lVyoklt3I zzY!x@{?<{F%6b*U!bmb(7Y+-(Lrcg+z}_KqT0!)>_P8Ez^IZ~cl;-#b^xo%OyZ7AZ zZ20O99KWqh?4NZ2B}XOTp5`0To)*4u*hmZ(bnsGG4tiSJUF^DoUME+Ba$MI!iBpP) zlubiNN7cb}YlG2r(c#xxo1QPj`7_5GKfe6ZLT{)%k0bEk{P@;Q*{MIbibZll_ZEGb za-wqJi8g?AY4xa&LiJNl5A_X=3f>%$ya34*w|P2gz;C<={$ zM|(M0SspI4Qtafq3z!M1Oeq0vY%;_0#dof8Yw3s|3?=CZrt}i%8~{kFk%mo=~a<*gSJM@89Q^Z zA)%832jUiM@6?Cl>l1X3-fGv%M#eS}7|hF@VHK4{o7bEpMk*0-)83~TcIw(T+U#k; z_Ha+^f9eUi)^e{W|MGmN35JM|7(hZ5HEHEU%EQFvInk{#sWZH^Aux3cMfv@dIi#;H zU$!S(O|9RB-pIV*lrnf2(V;_rV?A~x%ZQi<+_QEd=#hC=JEWWsd33HfJLRz|xlNaO zs0mv;;XwB%t21j<%w2Qf9npqIMQjLT9l@wU5~28LquFS+|NP5%Brb%wuq}KOztSB< zyb$j&(+U34mDVrpF)sXPE66Ue6vy2a+!~1iwN5kpAmv9Zv(J6EMj3-9WdT`?Pn}jj zAOmShUX5YzRfA}*Z<$Xg-&XC=qTz6*Mv8ml&3#7Zzs6br%_4fdmwVD=BH{68z>qOE z=&2c8?V#-Zwh5dHGnENAIb?f zI$U0ChH@cCQ~a!k$=0!?Ax;`uA~!$#WT z<5Sw+I^3I;$rE&~ix(JgsNBS0Uj4&+`5Vwpr$`Ff#JMEa4*mfOIHS#gTnQRH&NA?IITjgno3~@o!-qrCA zonKZK@9dLmaQyVet+-QusFxZryS&io<^6DMC%$^+g8kDr-k#)C2fu&e57G9=ObNC< zub>;LM!v5NhDIA{$AVN6x?P>#6Sy_;4IJa8UssO~VR zvwC?@SN8f5X@nig&L72OM1in24{6$moDP$6bD5tLG?Ffj<$HhW(#9+A2$(5*;ipX} z<1LZ%i;N9yrJw1yf##Z-6tgX~eY0;~m6Fr);HlC$xDoq~dFkVzTf)ZyCu9wtLU=NH-EQr^ROF4WI3&sT~jk+!7uFdp8$wv?ymNPXfMbSB~QF(^mAx;<9TdoZBXB z<9aV;KJggS47KAXK-(rp@@}kjbzPeY0B3>qcL)18{>!DmL{y+uSMG4&)108NGr0l> zEO(zQnqUS7aTAl5ce*28>z`-yf2Vz#A-C2wfb*rlmQovMLd!)AhgO4;%>4J2znmGP zPSzj5n}8~;W3peeil*uc5$bEC8s?ViB@CMMm?0+C_y5EOn$+?5hss92pXwQE^hfDh zDGLi)DO5u$P?&PKcowHn#I|P+#E55%H;oZtL*=Kmsp~AECF^x*L;rE?%nFl=see*s z+7O-7za%+i?K)LMrM{205JdRUi708x8Bj?IEG`|If1rHBDw?j)I;)5(Aw2t+B*ZEr zl%!SnRHb)kV>%BXwKh3>SN*1LsYLG%#zeOx+x6pc@f>8=cZkoias@Pbt(bZ}CvaR% z+WTj#$VtBV;cN}`(`81xVD$A&GC8WY!Dd@yS)iKAN)*h6evgE#60?u80z;tyuoN9p z_-ziOqEz@{fM2HKV^Jkh_T=6i>PS6d_IL?_1PUWjGcIYyrZ;z<}U9CO(Jr&hAyWw9-eQwEQv>v40P=izLa%ssnz(%nMsVS zie{seoul{(#%yVvCeo=$+W`<6{Bh};?Y~=P8o;1kJEQ4m*UPm>aUxwD0J$a|5{D=} zi^09*J^r|i6p{|GxU2;+7sy=ZDlwNNe(UA%_Dg>AD?+aMMw}&0BzhIw)4p-k=_z=D zE;YKBX78Txv;sMUbnJWw1nIfo=9Bc}Lk0$m(;a|+oSU!Og zEN;l~S^GA=%Mmj~Rup1QeYaYzGe#r*R06RLx&1M0Qt-?5V91V=C_;5!jM7zSywSe20Go^V z{Fbd(s#l3vGA$@d5w&xsU(_cto3x}nb!{1Fs1Z#MGtmu&L8NE};7(<56=vhPX9-%i zBN58%m{*tvixL0mn5XXrjxx^#F%AYJ{uwuK?*modZS0Gz?IUFhfULbmQ`+TaB7oaG zq$ux$W6FWsY#s6$f!jQSQ0MJBda@TtBMMuT_bRg9arBmnB z&MFvC&t)z#&xI+$<3CMyxIHX$3oipH@#S|XKi?%1Ajgdd=-VOXt;7Ti&>u@Oh2sU1)_aWk}a#Q zx9bf3ynp{xLhM#9H;$vXL$@8W`GwE-O7y=Qg}>S_)X;wy=d_4;H}H60d?upd#hg=)wvV~wT0bNAgK;PdtK zmh5pyoP9J{F`i~^MY%hMHM}~6_td<7IgSMtuWj3(Hab1$<^8Lyf|M`pUQ7#AJ;-nxz_w0C&>;Mh!0wL;#1QkLZN*A~b zsDxVaD(oMJjS!J}+ z;f)Chd^;hvT`o<*xe3HSfEj9zbZm85UsnGPI`xb{=Re!vEKwbfQZPjg?j(MkyONKm zE!t9zgap+`4ay{8yb$FRoLN-h20D~J@OC8tt;CNxp&<#cE30?2?uFITKJ|Ej=+V43 z?7;7Dt&@?#7_KAIRnOb`Vwc807LqA%!jroRYNi1WWWQJa=Cr%z04T5L8r{{KLm%d8DWyb0Vs&xRoL z4yJhSr=!%vc=Q;12j@ieNgqTgLrz;fm>=9me6W%IzyJKuOHQj)^yf~Q)?EVf=M!F+ zd-Llm)YSd2<#uQvyA>}lh9=iPt^R!H7f<;nG5VG@!zKjq@!emVaGFH^+`TJg-Pjs- z-c;gyb$0FpgpTr3%Ph`sBFnF&h0b$wC$mJbCfNyYS7XZWEGni0%y80=?UwQKIXv z`!KnV>nC_oUCcsf=soO?$><|1~NfLHaic2l9Kb3++D|IBhd@MkX@4M5x!C*fv z-!pZ5*tm9oSd9Ha_{IU2Pcc*dn=7JkpI8Em}gWM|d zWVp2-*L5v6rSE%WL0 za8(bWEEYDtzzX^$NBcsD?%L<+dHHJ550RW1m?tnAUGW=>((q`AR+qKUB8g%{V@bsC(pWxV}|=Dk}N4v2C9U1@HS_ zcx?c9Lr=U1IiG{nJu|UwA4Ft}j%2#da`+hJ6=KmtY5*sO!TkgAbDF8MG*0|)FFhcV z?*{n^mGH+cO3aLm348UhXk2nLA=_=;brkr3x6EvEdFG>~Kjr%dID`O77f63JN*6@` z1WFfJe-%m>ln8F{APRoaE;YmmF*j2GIZ793e-_F+R4_V97i7O9iB~7;U$~@1J~RzA z^!L@Mz!i{8$bf~YZB7c<1S|5>EKQ)M?PEJo5ayKxCvcY?!jhO9zMltXTI>c1A_S!i zzTX$63%UQA_!%S^9tA$m=kz#9C15rKLd<&Nai}Gy!C*&v)-4y*zC)d0lDxT`#*9#f!HXOGtj$-8(c$>>^}T zO>f`GDN3o=t&$exAr>iY6csA+59P7ivZBN^PIDPA;V$c+S@7oLD0~5xW$%laHiQ4GIj$YY5q#&Tqw<8wP4P+QsaM2vSUK z+D%$om$HWZ7fLhy|5kh0{Yj01vC~+Ho!pGqmXGHnN7_Yy=x0n5lFc6Bn6C&;UYbqJ zTq=x2Wy$2hn6Q%0j0Awbcr_f!D-rYEiJX7TYvyVP*_v zSx`z~UVu0*Dvy#3Nd$;sS!Cuw5={py<%LrCGB)#VIAhIrZzQ@hE;bj@!zf|d1n1K~ z3uT3t{F#9iniiGv#~SGIW`ie~_Q-}*W+ipPvRPNg5~qge5K+Pc%bNoG*1V<-vr8YL zAkvlYw!G`nfXP5fSGlyTp4ZNixAR@EtcifCR_>qU{;zYi6#$Z=wk#mteW#j7x~+)- zd7cax)hmAcmxxck$m&W>DJbQsZ?FPF-HKRQEJwv)0v zZPAl6DKSanfp0t#a6*Xn)ld;NVf0mu6s_O1zn3Is4zJtC4>M$PqqmWmv@uRhm4p*< zXu=BhHzZG^(*2ASr7St4)(tq#M$?{88f#AcUi@hGJ-TX6Tt}Y0G7MJjNL8(BhJ=3Z z{&!&!(i{nS}hrc#GCigpF5UCgR5L=Pqw6aigWw9PhDwm#t30-&DaGxX9hBxwzCbdvALgLGo zH-TDiqUv|*0n)_%C@YqH^*UXtiQR&9%IQOwq#Z5acZ&PFNOJd~t^w`3G#6L$HOACI z`2;oe?||YHOoQ1$R1bbsi5Lw7VU{e5J3fU+*O}8rmHPvWIzE|3*S^vP2d1jcfKFig zw^$&^n74>3F+tiTrDD(BL@aJli5+o8tjaEJ2=BLx;jKxJ4E@U^;~&Qeg5LEZev#@` zuZrxcA-{8Ygqc4kpQ)=ibb6C*kw@ZlCBNMw0bsSfNjTs21%u!K`yh4va7KB~EhQ+* zqRJ~$-KQEFvF7|&<@rxuLzlvsop9XVx|Zb9h!iM#3TJ)I#0>FYrzQF|X>sdSYwpf9 zX?g1tGmCV>mzYLp9P*bOQ;W7?>$YJPEA%ZZ^s03{Hg!Cu2KS2w_usp(lzg|0&oIgV zegm4jcomn`Tj4+TeOOxQJ_Sq~&Pr>-aUIv6B2y}pj{$@^TM`WMG4{g<5<(Ov0#F!pQQqu;O;+q83E zDst!-1B)j)dUON34hd&vDyoehAO~*9W|ccn+o0n9E8OJPjCP7woyDZ?th%u)or+$8 ze)#$2=dHhm9F0h|8`cx_lXoLnIsr-z-e|mkUhBE2 zM_{cLF$a+={vEb74iAMx&%xob{g77__P>18ZB(g-@&-PUS& ze~qUA{*w!-Q(vVfJhP}h#8_jj++nPK@E;c(g zj~7o*5Ppj&IOkw_7m&|g4SThWH>U=H{(#>+N_!c6j6Io2H$qd7hVC8fEWAxepyN{C zuYH%{iqG1?w`*fn)+XN1xfLS6_Z(T@1=A*|m8TNLWzmBbfBnjmfTH;;{7umsuDky< z;Gbr9Ut;LZknBcgxNfFD$QtnfV>n)f!A>>*e&Q+rw*hef7sT;$_&=jn&~gmTb1geB z4Hj+*QR06KJ0~aCe=!R?4>Pvui7dD{y!p9LEXG%8N_a$m@xUj%>-ng@0ezu_YYwSS zc{&HPuAVLj9^W;SRqiaP^*?mx=!k*C3RjhCH)ZIn`SXic5zFQ)0gz|&8_fv|7z!aY zg2>MJbi#{g0&5#~E=g)j2lZ@lX_a``nJr~cvb?r75Pw0B9nrQ@;0Ty-GT_AL`ATywD#e+LN}U3mD#PO_EAO$5oH8awFcNt{i(3wP6K^Duj(HRgid5WCv9 zp72R34h$EIchnn1TnOofnB*NuC^XolDSN}zO;s)9aV0ydmh_xMWScf_uN;iuA+nq% z5U4_5%iThBU z2RkiuSlPs&Rba@+%#W*b3GAOA;iKB%eXG7W6qm0MewfFE@oKSs2MB>7mj=~4yL zic;%EnoSDU^eUgxwW=b-qGrE?^WUmNxX&#MTFz1MK+v3)k-LFMr=q1;^HN*%5M$7^ z^$|bG0vuwSLzp~5=?%)-gX}Eckpg2Z%Q?o;Y+jQBG)UOUDi@@xlF?|a+U~dK4fbWa z{=TS7xZ;*!md?sE_R+qRZo@MpP_uu(SKzgHc?0hq&61TnI~V#sjzk$=iu=9BxMB(Wk| z;zjWzPPhDWFT)oaD1YcuKIodrXB<9XPcix{*C>yrAn`vIo6USNr?f5#UCFg5Eg^=J z$U)VvEN1IB;1$0X7pOrb2hM4f|L)!R3FBM<<)oRwSf*L6nhJgC%g%0cc?yx-A!7IB z44huXn1G#aCV-Z1Tt)zhohNoyg2TUfa^l>Ft70UiDw$aTM6u7Tx-D6m$MpOrrL6kR z;OL+AnU+#%KlS?&;=A;GAijFoS9@lL?%!Q%@7-7&<;^V`gsl>6S#Xfp!J?N$)>goM zp|iV};C%DX7__^0g-rQ?wojdeA4UX9kWTRGh{`Ho|Ad_iutc}(n@;#W!B7x9@7#@RfDg{^UEYKtG*y<|U$Evy*P7v{{gO}ERK-+ByYS_k5?O6m*>rUqmw z8K{ayD12Zm9A4ZUw=ShTw8e( zJfC;`#vrX}hk*66g6RvE9VnlG(u%65;*>a-vW$2q63i+P@+}*&6Fh&r!%kC+FW8Oa ztBQ~sJzTNC@Vj>4UeA-b;m@~d=??G_?`I~VO9!TeXxuht5KajZWT^b70F5pEQcm69 zFuWhaVF6f!gNj-p@xe;v+20d8j1-`A%Ry#zeN|1K1f*s_u;7_P7;onF&L*_#b0wh{i4{HUpv28{~X@o@kVAgTZgsCkrFEam`Gw@Ua>_trnaUX%yIPyiRJt=MAokwOFTA#&n8;IG`*p z4*TOT$ry7ieagK)2gvxSE5`n&E9iLR)N)Xz1c8OO9oCw;o_)_6g5|vH1V_<(Zs>6I zOyJ$LkPzT7Z%$wkB-PPLtIZMm0Z{b%yVT@RQ8~f;z&lw7MdRS>dlu57ow-h*3}xvL zRaj&41ov@^od1~Dem_qp|C)k04@7fPp*)a4Dh}W^Zp2Nn|AgmvA^-0ber56SwE?J@* z3mbkG*h^KLhY-;9mAmv+^rEWc#)9nG_MiF&;`~wBUjs|iTJkcvjP$f zQu>mOqYreGLP5hPK>Bs*WJryZnvJyh)Z?|~P92MS8P8rM4 zsTJHQ1FU&`!VhCt=N1<4Z>sH@F!zWrOSP|_YYW~c8$174C=F{Y`VpJ{B#Q_(z9tmf z!H~Qx+DDMYW#$2U6odp<1ZgZ!5|TrYx{KWqX!**6XCp_6@-RV3UkCjeJU^@B80uDG zG_g>!^@Ca>;nA;U zH+`&eSM>+b&=3`}Qbl0&!qk8-4kGg*Zsp$f*dUfer^c!Tz8 z_$h)gSm&?};|QJNh}@bs?odr_hn`$ysn5x}dx|3(*~cx6Q_ z1bo#X0E*7HUHx}7H5KEd&Y}jmUl=BH#20pOs{ogKH!;#+j6?@mzNl%Zd=z-+`xI)D z#!V_2mNAaC$H)B=w5UU=SZ=b7|DrjPYSEge$pdW984f!T)7d;$UH6%*d8;l+__xwB zCTg~;ojdSO=X%ix%^V(Tz>#Axz>c6Fm@A%QTUc70??qmZ7@=(E!CYnKio8_s7i@1I z6S-$&aUj`yEs$ore5z>{)?b9ZW!Q=++9uC`ts7mWA#7<3=o!Hr+r-M$&qMtW;XZ=yNNQx*j+?Cnp2$ckVf zR0Qr_fwM<2V9WOC#(lo9)tkoP9b z-b{!mC^vpj{3Syr4+DAvVpIqKE-2ONFbCbNX#Zw*iQ1IyeW=@AzpBo1?kvuTA=l~R zpruY%GC`O(us9*!CGVpjZEJqW>FTR+AjP@vHZ_-E?b6WPL7v`045oHy=xsf=pK37; zt*Ur~%;wn(n|Ob@#iZYa6rZuxPTZd@1NhpTlz-E}crcDV$@? zGx~O@jOP*#1;@ulSs+~_sz%zH-n|aTG4<*;W|0`}p0+1TAUq&XvH*`+l z>H9u?&+qsA^FHr=-S_h@&-?zK`?_w*7NGf^5)(Dv2C$v{r$(me(~WE;(t-%=T>}0l zd-a%0H$*^e&RH}D$UY%0q-&DJT9d@)*3k%5TDkwE^c_{*yvyR39ciao^|ZzC_JxcQ zz^Ks6!C{E2ZUJ&y!`tPqt<~pRs#J%lq1Dh^U*<{3Qj9Me6+GBnkiMap-i=Bp&?fLT zuD}e)Q!3CV=9iLBVfq*7a(wfxt*GBiYsY&(#J#Pm*k=0FBINm?IgW8|JNeCXS8bK` zR=#z$-9P#6;dy5`B!u2i3j{R*2Nfl?J7~Ue%jsdHhw9yI3v|a#N_P~0Cj>C@y6+IA#;=I@7RIK2dGD__V@>g~m9MAvEdks>S^=Q)efdjqe zyq>FCLO)x)&S46({1em}I2UQCL1q18pGP;)fuJsnN0E5hM&F_9kprXgksl5C$d#l! zoJsZ689X0{Gu78lnX@i$IP$!^h)ihl|8Udgn~V^~(wxmxQrV`8wKxT~U4Jo1H{nt4 zQmqpQHwR|-v#Es)z2lJ9Dt@Gw;rtYlDb~BP`DJ|9+L&t@Bdm*p;;D(->aqLs!sT`( zOSR5(yyw|*oe~njL5`}ev6Kjrj~Iohwo%?Nt8T;LuL(fQA#!7fX#m@7%^suiT z#!%htPT9a*V;xn|3};d9>_uAndz96(9H!b?D%i*;!>p8^;}=~9Ft;4&Jvv~)-Ykhg zb_=)3K5w|#$Tso+uci-l`lTEL&G3^A#(Vvj&C6qQ=g2i4hpJi3^ch-I7SbcbK_%M( z;Zl^EGal8O`F$~*6$TLZ9SA&x_QFSZyOk~r>QSkIFwN)lHP(S!f52V~azJ=EGPa!YX zjii=CKDL!)mO=(0V`MV}(3Pro6>$QaO;}XqP+M2YtNj=UD{OFN!euRNxB38Tb zU{))*PC*mZHG3ZOfs<<{&?}GjY~UR7^EcamGsl9+xWl`<-ZAmllHL7zg-g%ZHBQoW zW%MpmK)0t*qh%K@n?W8_}GsY zKZCqao!k>dtZU60>4}`vyfmyFRQShZc!)XxeENzEN_c|XwX8(O6uN|VP~N>a9T&-M zG<;?pM2IEBfkJQ7ag3>t@Y8tf4Zqh$XQwSqkx%WH35bkvaORw{bYNmmWQ}@#cQi~e z>}iVieMG(Ig~02U-k?$?u1;r%n-1)!Zd@0UX5DJu8%NdBTMMamYXb&QrvPt=1yNL z_D8E_cfx{~2Ek*Hz1y|&WuVgG8;*oHS!N@@f_*RT0w30Kg(a7@N{g0P<)UOJ#&RZU z9H9zayKTG@S39R*ul%`mJI9@{h(mbgyyqCo%-o^1@Rre;`&Q%?f0eIfl*~Sn3{*tY3;3$%X|;m&o;~y?ZSi`R!j_C z8uP3bu<#G^CRY7A*iPEuV9c9RPi?gG_SwLM*hvyNwRzxXRMGgkJ>8+lG(*(p$o*y??pnrTdnsI1ovTG| zOS~<2A^%6Dsz$m$vW~E(8Xlk;A+qljbgJsJs!ulMs4_md_^$_iY+)6^L1}6+fCP`B0N>vELuum)(X;V&xEN?^_ya&9@m+ZN! znnqreQF40UE*)W1G#y{ikkKP`hHiD$UOfr9qeKNLBX~EPY{$KNb!Y@VjP~&Q(0=JE zgRi0rO`f2v0_FJL9)c_sbA^kiScUf4p@gCW%y)}r)-=||FW%B+*gAeGqwY3J4IwZY z*_0xF@tnKCio=_|s=I!lOH2h`SOtRM^^J0>Q<>FC=CMKaMhIu`)mO}Vk<6SrUwibe z^)MkxQ>4?~J)4yd7IWG*!Y)(OoP$>Qs2V_4irtFD1ui^j))pGIFXJ&QV9^lwrLV4C z{%6{b6J$M`w1-&C2#0p8`%AGwf&)k%{&U}xTMP_2+V|wObLK$XpA@@e^J)Rr(mDe{Sg9vI_ zUu1kI$ZZ1KuMU{^iYIzWJd2%CF}Z55)&#mB_E2HE;|3n^t;!1-GUNWhk-1r3KOS!6 zEGL@znQMLH=lRxVq*#OyxqDC0X7zt_9g=imqhPv@iLZ=#>WR@x34%#Xn?n_Y5K5|C z){o&jbM2SKyp~^UpCaA(=ul&)Rde%hMlzcJ;BXa%-jag(XFZ21dGb&bnimx8^Rq%F z6_90*2lsd_RsH^C^1Hi#>Y&r3gVOXSVB+GFZ&UIz{Fm`8VK@L4Z%$+pio)kMr`;*d z0UkX39#R3E)~7_p@*rAJscx$Oy77BP>ZZwP)rz7@4`tzSQUh$M4^T3AUUn}1i(G)n zrIxpMIQLfK&U%jHDHRsWtG8!A*pD(@SUw3`Ez8uvE+0XHR|DkymVbuxm!+;k7|ydEds zT3VXuWA-fNqF;AjBwwYDLCBUq4kIKX$UJFP@b*4PD|JP?BRC0T&GV?Nv2=u1~~4f5K~f$gg``8g&pgL7NsEkB29` ztc}sMVh|Pc)EbYK8(HjGD9YFBV{~hc$ld!uWr~6}zcOBFMK;A4JeQ>(D;e0L4fN=Br;~47#&xE&9 z0tB)&p*Gj*un}!=7Ak?Cv^cV-v=}OI#S!|Lba4FxnEG z(>*?s{F|7Vq9&KKtW#btFAcQ!Evro8?{H1luj@-nSpN;;|^vvzPoW< zu2B9+DMk!G#V99j@;fK&LfDA=0MzwQk{s)}j>?XqGh2XyOh+QCqpqVtLVU3QE=-0V zZ2^j(G{)C@@|X*8jN^Y}{#8V(sud`767ttppxBAlM~Xo-v<)b7l67Po5PYKbv2tyo z?PTAK?LaW+Ns)h7rQL1^3Lg`k{`-RcC$E>5xF~*al_7PHfIsAo$1GpU@3r0j7s}5> z$nPk`lpCNj z69;6KIO$&fSfq(1_2~XG{2sG$JrI4n9>`$*3%6pM`st^Mr(=ly%um*IO+R9{^zuen zU-tP${OCy>kOQdJ3`FZT0~ujIIV2@AzmHxuMnEDd0Fc!J1Y8CvU-MN{zU3=+-4`Kt m7wQIeaPoF_5wp=&ard&*_f^z&(1u*|xpvb~#Y@A{M)qGP27)XA diff --git a/ENC_demo.runs/impl_1/PmodENC_physopt.dcp b/ENC_demo.runs/impl_1/PmodENC_physopt.dcp index 4073d35fb863b78de8e0a98601d89f05449cd259..2e7395600353e4c3f3fa0e3c6a201024c2833819 100644 GIT binary patch delta 37187 zcmZsC1CS+6)9#rwwryj_-mz`%7(2F&Gqz`E$F^--JGSi|bBA}|H~#y5|Bbj2Sy`Q# zPgO*Bb!T)xos}4a@)V5%Qj!ISzyJUMFaTw{7R}hV^$vL;0N@V|06+s^0Vc){j2^Z& z>S~Yx@JI$lv#w-$=X@cQ(CPD<)V)UUcmQd;X>KcjC__y3B=;aiepIy|xHn_c4@59cIEP5an}H8c z1KkwSb260CV%?0WwT+Lh)}gLCyrHC%vt(2Y`Sa3%DaMZ<}KHj3)! z(INTKX(pn3Jo+i=B3u$fiKge%FVc&2Fm9(t`t9baQ#GI&WHY*_wi;%pamb!Bh2&lT zZlkb0A)*bK<%bhNr{WntaIM`|{1J7&p>!QHbX7#usy|k^pI?UO^;A9WL`pl>4i&Vo zaixtL&qLEitNM0ZxX(_Sbe0M;=5y$(x7d%r{7AI(Bg9K8&e}2MYT)&K%)=9TpPjj% z(V*{ILm2^CKLm|YjWLO6l;k%t)9UL&++=Fa1e#!r?3fUVP%5PpbZ+QN&B;l=zEggQ zG+;7WeNlaYDBguOKgyje1IYR_x@9|O9}&003#TZs(^&Rzt*pR zt)N?A*ewvO8Rz#HH!%H(TsZ{bi5_PT5l#s^(6u__VYW2K_$mOq&Ap z4n2PE6LoAkF4hU?K8%gfy;5qGXHZ*zzRJ6NCA*rKH~ji+m*qOO-i(Qw8fV_3QReC6 z(G@O4uoXiDtr%A2&`PF7k3^15+jA!bCv-n9}y!0LA9&fW^U zqmi#P`HbwAw%Zoih=E~1@^8QgRP9jdPKNgB0{b=*gCA{hVp#!8eMC(Qf1b?*QU$f# z3+h0E#EK&mH=-zilA10f1{(r*H|aBjTNE`so71--?li7l`J&LoV}(J4RQ0~hX`08& z?|2=$0-MlF9d0eYtCsb;WajwLaZB`?3d2#99R(_zZl9gbi*ZF{Z8tW!zj}~D1Cse7 zb-BpQA1ZGx_>e>IJG#z4M$QFZd8p^>Jo6O3(d}D|w&Jm3BH}8F7DtW_V&6lToHZ<& zdPkLa&nUT~3ulElF0z6cnno$ffG5;W;`UK5p6b;wr6+nVP2z}M1MCnaFAFwysIw!$ za565ZW|-4eJBR@{16CWttFSV4eO`{NK*af)55bw`2(;25(28K@#yc%}<)cf&YeVpaEx7+5puxMqs%$jdXRO#<7 zr0CTtX4-tBANKhOgk$g^n*~`KZc1*dP)Z+T8O4iT=rO^WKShyEP$rq{9Ji zqY~?rD9&#>=nX_Z-asHQMfQX8HZ;ajs@}U+T)>bgLI3UzTW@9<@0X7WjzoO}<#}m? zSP$+;TCI$<2Gk-k! zs3_QeURL_KHLH^`Ef00Je^XD&)$>FV^o{CEIg;kxH_X1Ta?FnEyZ1wjR?x5Qzesm4 z)bNlyxQ9e{{C$RMkTV)VfqDC#`_Iw3K#VG-)7|Qah@I3X?3{4{`E=3o z^RJVyeEgE2sO(oCFJ-0bfct7MbKg(j`@G9A`XREn_Iv7z!*@fzICB#UX|6Kdl;!A( zqO|clj`@|I3NE+)n*O^Ai<`Ub={PmLhXyEJH-qp8etq8TpKj&T%L+r3YhR=8jR)}7 zC?@F?8_LCSzbW6W zEA?%Zl}Ol@mY()mBxX#z-~fvMkn5;JcK(=g_VAigl$<_1Z4)Hyf>W%you9q)@Y+(8 ztQnuL_;9(m;_F)bQiM$^pY}dP9Ez%=Lhkc%>+bfBEOIWm>wy*BIz3nSO=MrMn8a({ z_Hd^N+oGcL|Tw8wOWnUi}HdtKnm+yK17#Qti@7 zJ3KCt@vBZGPc$@nvJ!Jp1}g4*rOnAGh~NuGvx(-a?l<8|6AGH)@!^2KC{oqGD4oBk zP}jdGrIEj&YE#WsdX>MRXsW+cS^Nd5hah~(fTIgfMra+>Ty=>0s!`fUIzShZz@s@2 z>889w4u3ueEs?+^Js4=vt}@O1u^VHdEUna)Mn&>kv{a9MktUN;0s_+-7_%JQxGux9 z(4EAhPcH+zA{t$+)mII&>ct11TaoZevk4<|P+Vp#6pnR8NII(Tt_34kN?Gm;s|fGG zQZ!*?3#q7^z!FFUr8|ewr2@@<_9m}kTQEkh+wLwhzN@LqEM=SK$Oh4A24mgq?T#zvwO6vEp@(1arYFk z%)~(mboab+2y|&Aoa{8^qRe2uN(*`Y;1HG}(4z~sHpIU`AktSDUk3D7DYI78SASvn zNGB&qkvWly##({kkIV=G3e~-EfrAE26nNKxvlf=N>S4`MLRQGWXPRp7=6_8hzmNbh@jLk02&*|RO?SPV2es5RYy z0eXUDlNYRWc|)Nt4|3$@Oul2S(!2ViQ7A)n+=-yZ?FT-|4&y^|;_p_#mbG2~N~+3+ z6eZ^EvTFfIP=n@sZVy1^y0tK6{NpWo{xWmO5~Z6a!H(~E?w)71?x?QEBialO#?O(vJB@{efc8bYc%L`MHyc!8Id) zy==f0rB!`}!|xy7_nZS$mKhBdJd2=m^Fc<-6m&N)TZgMZzfY)C=m~-#Cf7D;`&Pcv z3Kuzd?ZC2PQtd^6&Pt4s{|5B&aC4Ko8$<}&2X`< zBWhYW?&}k}B_?@U8}wO!CB(1K#Sh1kONJt&IqBfhJv$yqweXR)6fr(uj@n)y)ZudR zcLvzjkB)}5B2+68&7^xL@#QvMQ+WzmF9;qrtgLLQI?4ls5%q3qfBh2m%$=wTE<#cw zIw2ELWJ0z{j(>dA_Mxt*)>Z~3Ta;sR#1w0@B!{2Npp1#KF=~`oqXw@nIPf< zu0$jJEz;l2hzopj_|HP_5LU=|!QYIXLGo|bR(qqA`LQ5GC1V0j>tGyVMG4%pid^*w z(oC-NfW=K z&tj7H(2O(}@40|ChIMBAn%^=^fEqhgY5q;^(o{-KZ9ic_EV-zk6ak#ee_IU&*kFK{ ze_o3oiaB}IHItmrMPU0{$lSb12nK@-nx}LFW^#&Hv7EjNWWx~j%PsroMyG$MPj~FE z14?07c-Hz{iU`)S&e|5S!}&uG_~#H)f+j{ERvRy)PRVbUU=e&)U{V4Gefe0T{Ay%z zUZrA04@#g2NsL-%j9^xH{3qzYU|}bt&LWK=zygX1OGKy=a^L|eADZXFK8a^H7$iXB#oO)84L=1APR63e;QQAhvLe_?V ztGbL`w6#iUOwbZhx@YRJpcTIAAD?A>dU+Iydr*c5G155rxju{lq$z2cJmN<9Qd${2 zMj4MX2TXYwcdn;JI=CxtDYqzNuBE`jA_sL4=K=6&MBM>~8=FSAMWj6VjLN^>f-an` z+-s7LdGWj*aORenK!DLwJYo34=74**&&CarIgzSyi9)qNknU+%J{u!ZDoyGhx3NJWKo|4L8|IT!F{w+1}p^QEtSk0 zQ$X`#Ul|IMc_I4JYv&_SHLX#7{&Ne&j>-Y@DrxM(X_n?r(u7qJr?cbRhzFaBRipG* zKl%Yy90?r?P2o@JtBPy5KmYCdj`9Tgg;=k}!Z$Otz^!HMvUmQQ3ayj5$IH0G?Zqm! z3A>f6e#|Q7Yw&@)N5eex;Yg<;%5#D2aKRO*Dq6C~-Rs+XlHK`1{R8w3*v<(kL6`Gj zG?60XPH_^ss{(FwHb3bxBdKU}@RW9e#Zx@+6vHbEq98<_>{Hb1!t&G=z0hJ}CR**N zV#Se8o>ymY9nP*FlQwsi%-|BWW#Ne>69m3YEvm0q!=?Mc^I4pqn- zzGNZ2|8>NUtiR$~2+*fK_^PRw+7<##KnwRtdnXAi2#`}bRGV) zP?Jq0NE@Q%je^OcX9xzbMenddgkMk zB{&|`%-C^8#pi(%S;AY%A=E^ASA8=*QYztrKH$M}t~Op2`v*+YqQ7KMh*4n%gNscE zW9hQ2daVTaLHfZB0Kbj%G<}{Y2%&TqtILW+-oJavKLK6gh>upm(Gl9c0k&o0hD_A* zM~W2;;sK_lJEHy`&HyLKGeH?+T$aNhcpEv;4aLMFVw;4GYm?Whwogw|)5=iTC!6WF zO~r%<-3F8)#z|4Zqe#q8XaC{A4-3XQpQPbQ`K4|q-mG7cAzB*0jKrx5OeR<)B;zl5Cky-9&uCIbmM7qcpemrUnAUN=x1dmhnbLGM`wt zMA+A+lF3^>M$>q}^_u!KqscE4s)lgU1OqP%nWPgzc2OHzA}Hk~X8j;p>}@b1hFst( zo~mbErW6i8< zJSmqQm$%=YZ^s|d#NtEPuY@m>P1^fV1WL)^2GuqwUSde9$I22sOirWuO|;bR!=IJf}Yw zx;xMA+x?zi1}tA$3r^SU4y#_59^%l-fg^D#U7(jLq?AI?KdcDMQPe=k?EcPaEhf^p z;MKirqkmYKu=mG9qu>c*$ax3;dAFy7`_2n@Z+XFN^gBV{ z_f9**{rPdNX^Mgf8sC;TAEE2aObZ9K9hb39OI{SKl+#-GjV`y?anRW&~+O$bTuRxOz<07{{7KsgOgV%2*RXJn}pHg_SryR2)${!_hZrd z2{PFcDW$sfM4E^};j3By#K|^DYH6j7b*vvwA;P0$@`dIe_S(h`)SoNb>8xR@nA z5Fcg5|2uaMsrghG0YI6=Nd?0=3WXF+gk+5V*h$}r$Z*Td?pT58SlDcG=&ggNOU0;a z7G9$pv}~}r*z{?26l34>{@Ru2O68aI`M~JxsC5tJ1J?7zoiusS?bYIBKEGwbdA^yF z7w9giC$$ZFPZY>GN{4C?NMST%3n5G1D2}osN^hE_5XX}z_fnS@{N)gwu3Q=oWzoutr&J(duC~-M87Q%L7;b;htn^S3{jy z&=FWbrp{zH9(z0HOT%kZMv!7E`DaJPG>jp6U&I~aL@Y&EdxjcfG5Ddrz-Ol6AHT7x zI|$;W>#|n#$1I_6`;uvReLKQ@rhs7O5w(kCaQ8`UxKrk7tXBFmVZ(S`5%1AS)@7<{ z>~!oO!(_fAH%c2Qr=oIj?+{|QntbzYkd62nrcxwDY|Va7aydRAdj89jVb&5Ea5 zKv`JsZ^*M<)YDgjaQ`^9a?HyTn7y_{dje z5HfmaR`6F_6Kn-nJIW-rOY9fB#^A`E;tAu-bL2By;emWUk9EM)e!Jmw95~^-s_k=H zl`Yw}mQ68#yxd)FTU(4V>mGbhSvJK9!!XHd8DQ>g?7aZ)vkwRd7-=661<-=hcUS^o z(~shN0h6ro2bS5!K=Q_ZMF^S3@pE7eO@9*boqZ$=_J1C}NmSBJ9Q->j)&$=rkKJiG64v7=*FM7(fc=&;q!`nfBxlb(GKOq;im{@sKu5 zh;3EefxNhj?`7>e01IHc{|QQg6i~`Mh7?dq#D;9P43mV=u*iUh{CN`p3R!It^bNx7 zG&l#Yvk;sJYjn<8A2YSU_!4s^51Wt9K?F7yCiOm>e=g9|Yh=3c`z#|9OlJWD5e5rv1FZUn!OMgja9YgH31wJ!q+MotOA9_-rqP83*E_s0|L zt!8-ERjLt<8?hJvz`W1y5KkWk#XVg|NR<<^IHA-p04BX+%{Po~1BF{60}C=1rTJ?B zK!lYZMM70gV|qq)F$<)8phW2mS9XrxD%P{d>LRRFi0vRsjH=zsQ-mVei7tmp>McOu>ZAT?$H;=TkLg0IEra0aNii}mv-UPA^$C~g;i*38$g`vm)c)BO-H(3Bh<08mX#(dPwX{hR48bY-0h#vH4OP<8-=1;X)UuxPyi zA9NDtATXgoieBhvloSA1HHCLsQ|AF=8yZ7(E7fv6QM2hEQkKdY;k={|f8^Miu^O1y zvw|7{86*Rrg~{C{@{I>m(B|sqVnYm=5O7A0IZ|tT!9+vjSnfDQJw|19+l>3Nhbkn$X;YxU>lm<} zAikHp&*Zwdd^hn}F=%>6vk`VLRAF!3;kRquM09W6?Xp|p*;4-4-p<|DVoZ6D9k{@( z(Y*{d96w})d!=!C^){7jF~BMelR{3=$K6o&dv5^Mmm`k`_PchNSyc7xWqW|glTzA# zj+-`S;dIuhT?Wn|t_q=ahOK!8Jk^~StjDi5(5to zohA8#>9xj;#HV|t;6jPyrA`3C>9hunj|#X%i3=GlZyhVKunwUVPlJ|Kz- z+v{W8^ArFqzQE;cvBJG1D(@Ui3L7{pE6GaqDNdZyq^4~PVcZ{z3+J%G`8Vg2q*E5; z3gSY(-WBBbw9%w0Nc2s2uqxLkYy$R{Vjxu<3(b|z17&o3O3jol79om5 z^|_b)B7{k2}pF>#!~y&q13fV$~4sX3A~N`wB%q0jypE#m~u9b1Yj zT0Tv*uVf~t^92R&7&mNjnNl|xxV*_sp7g~J#g=ZGS&p^aU&G*D)B?HS{S9Ea=BNwY zA;tl8adkVrPR*rk>wWBWoeivQjB!E*U_%B#zu&|Sf%RN~YLx}CC_PZ#01)=Uf-sz3 z0|I_1Vg?>Dd#+zd`}uwJ=V}UOe|?<~LC-u*`FX{-2^ZMJ`CiMZC)gIowuwL4NM^kQ zgQoQZ!De{_Y<7T z{TIVE;3E(XRH{P~iz$&UmKsZU9w3Kv2ft=)5jKNVKq#!!_t1QH=(X;ML><I zENW#0EQ#o11FZj|{hLshA$zq8L0FvA2G(M4P4A%5I&#_(uhW$T50$-Cz_l6yIv_jJ z7}%@oDCaMC&*>&nED$NJ1IcQ+9!JHG^VV%%6Z(riU7tDpF8LHTx7!wYz5X-(z^tl+ zgN!lS5<9lok2&8}5bslJv>o1G9AgB+PzcwHoO#3AuC5B0c6yU|hws>rnBy`~vT<$6 zfFx4ZSCT{kW3_>CV_RHTz984!kb{nO@CY@^5Wm3sf9qZveZDOmgN z_{2xGaqY>)cN$u<;joCfym8%#&)K@V$GN5P5n{eyee5t~CMNl=59f>F1NV;c80A3! zyif|$h4Di3jPW9llOI8v{vQQO$0?35`j0|Q$H|NMuY!|Tv&a`g$4oDs8KjYqp8H=4 zM?QLX@PE`oTHlmXYD~yH#*`BGmwtj_h?HAkNEF5qt!`w9!k-1xvo-_@kMl^7Lj0GH zRv36OtZ;fXEefaPQFRd6@*4}>0GaL+HBEG+!+@Y7sf)uHal9q{pQONz`x2Vqoc6vrTa=UOLsBpXNTQ^@Y zu*6y=`JYOUi5@ogUp5M|y%(J0ye^fe>wBa~7aHXFC)n$L8x(<1&a{fNP0zG;Z>yE2 z;T|ggxAOhO3sIW(J!@0se|kU1s3e+(%gcUUXc&*`km0XbX!uu+|EW}&rhBOT!$lM9 zwNz>z1hui%aXq~SI@AccAKWTn{$Q8P&P!}i`)>b3i9><%@h7D_%|`se zCT(nuiaBDx@bg{|rZ3SC zR;0GjAQXlB1GD^v5UyuJu}kt0`A=Dm$i-{pgSXl(2V4&_sb)Je^DXMyh=rioD=HL3 z$_{MFJ;w8hMNjM_zC}*nJ9y)^*ek&WwM9?JBjlRm><8&u%KaW@Fv)j8@DGrrMNiCQ z+}9&sl)%;(#)K>7XMqsGyz3H-kLZ46psnqp;M4UsGJnAOI0#i5gewiglm-z>3q}?a zOU|7ujbo6lnIr0tiHLP!VUvw_RHLvg0+YoL9mtsN$iPq|;epy*Fj9I0DPeFC%{>cDb`l#A;emBCNJRULe+;v~ zhNduhU`H;P(IyBI@rST3Bw~TxlSqe)uyH123@jG=R70*~EM$x{7JIRpFnOo&Zjj1k z>UWNPf(qQEO0(#ObVmWzNf>*9O5^+5*x3;t3Bhw5qB9(`yGR^X;xgmthFF#{o?(b{ zoUP&|7KBI~C`<%o{TkuYx>%M;9*Hd1Xxt?hGv_lLC~*)%5L~G8A^gDF5WO|Fe!Uy1 z8?n)Wabvp=%jB(im(U-PBdyxN-M$+&)P1P{vf)2+sK)gUr~~O9s;<%KvQRZ@gvLD8 z#=lo7T@kQtB0|8Mdw7vnRFI)FNs_7WQWwYA8_u%E91)=xmGB_&4y$j5H(=oTUTV|iyloJXY-_*E|k|6$`CV1 zYkV)1j$Sz}bW)5`yA)Bel%kW5QVZ?ZAx}nWMlB1TAxmD*R#S*q%cd?Ppf1b&JEx?| z*Hg0OeTw8`DY_EY!dpJ+Lotx33htKnfdY`xm@`8PuT}~i&a#&nakOBS^~fU8d)s*@ zIyCqO2vY#2!+xF@Nfkz&?vuz3ooErsG6)}nn{OFOq+oKg``la@CJ0*IDLOGCJib^5 zM~QW{_DUT9ch=lDn;qcB%9lzO+VRc#EKuFaOHb{h5%v8sT$>hO3Z3w|qIc&Nq0e z8=tr)0E~EAPeZX%@UeLX_$15ccQN0fH$LHsE(C_BEjorL*2ax>v%k%*@eLKWE#BE^ zAN6Y-+znBE99%Gq)2)%yEvl1~Vx1#-h;v!e#J*lDI_}(+5$NsK8;4VRQdLd!Fcq(A zqWO}h#WienIAed8i0ZU(FaM1v*mz@-UzSrp-qkxbBHmWMC&Y5vx#1D5?Ag?AN#C+n z>)a?gIJhUyu80);srGvu^A5{e99TBl`$zn|hs?Q1+p+WlQ_@dC(I>L!wy+AS%s2GL zoQZ+yc-OcDS5REM>$lPNu(5W@vGy?5%2yn%CtUe7V-do6TWs7oS`WESgD{m)J9j=# z56V-wF0A>B!Lr*H(H6byc6a0bdk4-Ta&}|b#KG13GAA%0{9s8KKrtzw2*9aW;U+&B_zjazGglv?)PnKR+OdkxVUk7z2n%~O&KhCTvu6^<;hVC}i zKgBI9-IluE(>W7dG3U}(7h_yq@_QL5&9j^iKkY?UBz(~O6?QU0y?gl&GJFp*;14p? zZzsiXCsz+HuYm5L8mFh0@y%`V%a5rkOD{wuc_Vg2_n<^p}1i`}hETYH&R=@UGSP06cL)`9$v}{L2r& z=ttNXzwqkXsSJ}Wu2G&8jC1x4G`n7|p{CzU_ErTgObxT^Ijbjxqe@epO|uX95cOn$%;_O!ZfD7TDzqR+kCCteDT}-5a6-V&`*cFZ23bGs!yrk?W1wriF<;f2gg{`U2u185=RZG13o6v zHa!d`&v8BudKjZLAI2alKf8dpR99AFRsY9EQwv4K(8tCu`L(;8Z8ndhkNA7Jw+-X6 zhYe$xV`mI(UB`g}%H-UwQE#F2G~M}=fF}QJ;1|qHlz~hLJMGW|HxzaSP-c3 z&bjgI*!L(G46b04OMghXtRq9b+~7}zd{VLRb@JuR|3hJ0fELt5bhKVfU=&4k6r~9s ze4VPtxjtPVO5Z@!Pp*x8Cu|X$7wo021}UOk4$Si`2lmOU*Ah42svU;#LCs$)Zp9|l zM#tAiC)RFI8GRM&cM`emajF2?+1DZ}qNEpRZ{1zPY*u^vU&VU)Pag<&QIkI$O@5m- zYjL<_KVa(D5&-RSpplVdNR-Afr!0W`n1L%^CByM`aU~mZ*@mo6;=jT-fnLdjzD4eq z_Wa&!!cl;+#~N_#>j=cy_(cxMHDA~ZOvlKrkpg>(#K`TLmkwAagY3~O>^(>V);wSf zkTgnx1!K{AG!zQWk(yUcjq+>TjX6Op)cXhN3NclZ=< zP^;aRsb?9Xp52aw)8iA@UH!NpeGC)VlU{SL`x!sC@W(D|y1y~t_15`4C#SnVm2 zxYF1^s0II7G2n=tkHD9N;p2nzudBXaVy62G>^!~|-n87#J?osZZvlB?Bn^sgPAb@m z-ZztmK`m3CHZ|;i=ZTLtBH8k5QH3X~2R%}M5BD=2pHyJ2Sb)K#|xfG5Z91l#f@ktQwungVqKA80*PMzVXgWK;_#wxGc z(=B&()#-A{=?(aB$~h&a*{9xywq07k8e|@rxcvY<)O^|$-S$;ycT7~cUot}CUJhtC zY2QqFs5|FY@Jbw?AvySI_wL+P+#H+t=h3=sg=l0MSFoX$A93(gTav#hz#PHqvxtRol>tZ~kX`7XBvrgnncXK#{K>zQ>;{+ryt>Cj{sRR`Z6SFg<#E zVm72z5?*#Nr1ErH({q(MUosu7GRG%h#VxlnnpE-ku~snrk+`)`++(>ocN;599_KxL-VocSHud$&pNkhFjwM9k z_?@ttaEzUZOLk?bKrb*MX^?I=iBetnw{?cA(nYU44B$Gj7K5>ya>dROhR)Zh^j5Y> zbK4HXt-rfGH_Zh2x#L6AUwYaIH49gy;%vW<0c#Ilgs{a&Y#yv)TA}hy);q+iPMfJ4 zX7&Zcg4Sc-r`54BFq%6mUr42XK7JV8+D`-Co3NhrD+fN6Gv26*E(k*V>)Y5LL-IyL z*VKe-6|3~-h-i_v%lR>b%qe|WF0m3gm$_Cafl+RreT8UHOle=9Oos@wl5~uLY!fO{KPf{t2ZG)P#^{5F?F9~mhv(Kx&R_kx3fjFGl^Bc9!Iw346a%$oBZA&bR==pd#9@Dk(m;6EEVt zybXMt1%=s8A^hIm*6U&s*CQU`I8~RHRsAsdtdu*7+6DaJq;UznRqbtltGo3<3(+Na z>8fn7sfmvjzk>3UCx)&f1WuZGRNU5lS)GQm{9x1(cf&RgZx6D~@bTDQ{G{|5yC>+c z!k+>SBvkCQN4WOF@?p z5pqbEr&{aM8FN4Zcv5Ltm@dN(<}5NjHo9ZAR<(3AP_uE+f|akGRD!%Gai*)jjF4OI zE_|1Z@7ko}t{(TRNJEjCC}fNW;-*^S>Vqy3(}W-so#XQF>-LH{gHdjvDlTP`^#1w> zhFbbZCP=gR!1<4jy;hU1N?AFTx9X}I5nWcQOfU+$ti`SnAB8>lh|Xgk`k!UQMilO$ zYvQ~-L7hUMi6vZAfkiob%4=Zy=IP1~6j}GCFnout1bff~p#lOzKj=#y{!Fyvn>DRf zyr>mA?uP~8y!XeO^ZJe2C0Z7d%J(Bv5wFV$ zG1k0{Di<yl37ZuWYY;c>)KCd)PhDD? z_Cb+myoA%lI-*}@Ft1kQ*?)){2t|js_CVIaXwPyeSjB!jO*NaOh0MNA;TK9}OS)36 z=@R9>DOZR(`}oD04o+6Q8f*yy3^vmmttYnw-l4P)ZByOa;{(2X_JPAf6UIFc*P6$8 z2wZaVQ%Ur)1GL2&pc^440&C(C<43zs;Xxw0fSfmlVewJNu6enPboCZ5X;;Jr5+^x+ zM@HUmRifNKTWeHcBh$2HD_*QQI7n6pwC#2BZLxoo;_@k)hP$G0d?`~5Be+NcNcKd4 zJl~inrW7h>6}E%`M46|x$(n0}`UY*;nLzNKHlVguM<0_lsvP9hql+lgSYvO!wUP}h3ZE&4#uoLWX#dB^O!}`%t{qke$jisd6Uz|%%)h+g>fhr`nrT4 zt~fj+gh=gemf-Ma(2YOO3TZeJ^;~{q?E*EcBcH7eN!7V zplF1U!JDiK{mrvc!CqY&50bJ_{}~jx_+?4=!2#)~o`l4=b`>!fJf(@=x%yJ-Wj!V4UD%gMsh4TK z_KPra{&my|3sYi&@mguwOO^Hbw3%@G2+BQTEc*(AqozeO{EyL}ZofhJ#y5g4hSjGo z!;=wa7Gnn3M3@&Zi9O#PTrhWgHF(M7tP>2Oo(IGX1^7sPHjZtf>{NSgqdqKP_#&!qcFcB-C#)T=;jG~XoTvgH@P#cx2ou$Qk!HgYX_Yn z%%$<4E-nw+RliLL6LTqoQ{%%Zd1GnWNzRR;MiUbbc7mZ6DFRzlDF%z{)0z8|dlNJFXNghwCm zN1x+Ne(y(_cAmYPw|&z(OCKjIr>BQ8r@M9&+vUM6GgdAZHpkUL7iW3a4)ZI-SE`y7 zjwHFK!k5Ifva&*~lBC!4`AOepPWNh^xyu_5jXlt`HgR|1)|HxZ+;L_$Bc2J7u1pZf z=qL=GxYLEK5l5i;g9UZM^ej!HT6-gri3{pZ6!kjXkb`Y*rOx`h6Bjg9zp-{^>K02~ z11?w%%#l?AJ7EwfDxC%t{*Vl#!MII~NC$Ic z^}IJwXz*8E45Tgp9X}Y<8ERD#H3QAku~cE_SAj%hv)Y(J*!4@PtNnKqF3 z((KcoSY<8)tI6=axzrgwpg|o(^<&S%))=}Hb>opOr5eGGP!GmhykA{9n91K&r1b|p zWN?RJ*NKh#G8=rJB*pJhJghBPT2%M_H6Ogm4g_{)4xksy5^HE#1-VYmPb{Gvl&_i2 z11u}K4eWEdZ8-PVZD!*HoO@I{cgb};VPJ^yK%g+U zswqK6t14PV9A}_O4vD&nyL?c07>%i3Onn))lYs^?7K83g_nuB(bmI z1lP=*WCC<)*Q^#nDlo-jBszGiB_RXqYpw0yp=Fch_yHZ_`1-X*E98J#wNH<7tk5oJ zpxLLDtknK50ofB#qct=rrPNswdu{wyGX){=W$i##hb&4O@MWETp-F%IU;}t^qv!I7 zf(z!2(vS}U+vno1V2Geew7J%|b1zTOwiHY^DUh8Yyq_L4kBn1x52%jopF~1+f5$?b z$5-1>Ze1kZ`)x~MCsme9b}b+3gQ<-lur58+ZwjY!BM$3NA`?0@L`+XMb{kcQy>t1B|3oCJy{E{?XFywxLIlU zFunt9O^E<;&vNe+T@zBZD|Lnc%F)FPewS`i+esAY?@+)0Y}gs zR+g`)_;6efo^|a@=LJm!~2T`2BlFtiP*(JYUEXmH4)%t)ZlrC(HT^T#Db z$gU9;(D-ojaD2$L#zbH9cNlnFw+Gn)B#4Q?`y!7E`N#viC+3D+uInS^CMbG)G{^Lf zWjN&;8+Ut<{7qmuj@2*w{&{x_oT*|_AAtNMr8p-F{`0;OUw>NKpmRsKItckowdor; z``{;N{2A$kd;hCn_1@Z;fzey-$1AyNVGRrPw~V2oO0atGh)wfGPd>Sxau#EDPSi=h z*Z!I-p7?#yx2tHy>lWP4@Ebv9KY{Im`8WRI?OB%XagTVx-e)GoaT`f#@SL%?vYOFO ztjC`Gw3*ZvS;uX)=_2b>b0KwB6{Ik1}PWzKSFK$0I z^LMUj?C1B$D;0rXug?|Hg3}(zpr9MNr;3=?%x^~#I_8g~3aX}F` z)M;d($lc3N|C@(5s;vV-^VGl#zT9X(EA>A|HFT90f^UzbvQ}lN{;TevZ?ErP1^KQ) z2zc;)I#1ai$4UyOe|M|Dw&1aG2z)5=YmDS&>{|Ec!iR0aLo%6XWky2rN4Pc+anAS! zxUZo5@7oi59{6SKu3X7M*~19DJwLj0h-MH2EjqKJnb;M!+Sy?*kP?GCx}^3<6W`qh zcPYMp6wBW(O}hU|@JJYZ`YLs@Ln@sM>X@YZ>Jyi7K$&rHT`AwMz=77omN)>tNl~*- zG4g3%GT~mHfHNhPEpR}#`biBPL7v#GN(qKNM$VY1HIn^*xccVcOv0zzIN8{?ZEU=; zZQHi<1{*us*f!qSwr$(k*mr;T-n!p+zkj-)>6x0To~o&ue$MIBlm4a>^Zpg&LR4dS z1B{6S4nIj>dnp4|wvxhK6wCpqq_4LanTM-BoDtuuQo{0yEwQbV^8Tn>rEz}?n8+K4 zF99lHX#SoLYJL`Wu)SeKWsm2#E}`MY2TtD=pLfj+Dk;_E&hz-E=G!LwH3HdBy_cEuj2beTiH~|o(EFtssnO7 ztiDE%);<|Cq4m`LFEf0m&F*nDL=||74`LgNzkUf4f(U4R9JzgZ2E03Whn|Wyo}E9O zN%wt*hOZHr`php(ksb?_pTyZa3|?}dx5-S+)r^5mDV4?tDC-PvqTq;@^BZ4xu`B)+ zoAgU2(U#z)86cnA9t-C#ebyCM`U{Yltf4fah9ou5P24FU4z*6 zn|z(O?GImJMPg~D{zdj5sfIzF^A}sIz&kZZ>e0kcG-_d>u~SP zHs%n;#ZFz(jo5O!M^aR)gn0n+F@mx1IB4g>=k0m6=kG;=k4uRJCS02Pu%yeuSUrZG zTi8H}Dxh^f~DJ5HyJ;OT93Zsi&%3@zUA*VN#FU(PMwWFUjI3{{2T#GBYmxAWM|1W;$}g zq&&pLThO{V`oYZ}rnPn&UsxG!7LH*}7ISvIw8HK&BP%b<;jY_I%gM&F(0J)K4t#x_ ze4vkC@_J-<@a8q3=Gp*!rK8BCyS;~b>(&2Vdvd(T8cUd29`IC1D)xG$H^7`|zskkg zK-IDwvx;O&PMvg^S>)AQ)?R+PE5f($rZwzeaQZNb2Vzj+!*RTNEe7r+zQ^rEXb(=5| z&e3T$`miQl@yVk4vS4P=%-^L+BYLh2)-!Qx7Yh3qIJ|CTvCt}y-L!L*E82#WB z#@Gg+7AY+<9`T+y+iJINZ#hLzHL`p&TA&dgeWV?jo=IhMospk#LJa^B-2GaUFmiJG zDR%O5R(Md@S0R9`w?|>53a{&-z`vo;PI=v%2LiZ7UI9>Do!L-+S#yTq4uwL3&j-J_ zr(*v5*7<+Rrh>b3F)kz!5I+(S5V-%=i{avG^#6*cCM~Zl)um+rn{IElQUf=o+77Ba z;c!eS6K>FXJp^e*z@I5MRqS73r_8fpu&|Bw)Rfb*S9&rUY8sD`)Ql|VB&Zfr#^Q34 zvIVhHqPmU*lY<yJsWNZ?+0QrLla3w!=WUlAy>qwcNS7G{7K^pN zuVVb@%k0%n49kR>++BXl51JN5g)Y-oPu$trNgr7Dt?f2;fM?+E@o5|&4)C;P+~Ev3 zHnHLmN3Y#NInTm2kCKU&$!(!7aoA}#K}KsE3mBo;;Ih^2=+*6c%BZoKq5ZlP^OKsn z-A>I^?|ePqh*M}aolh)|!qTgAd|iv0iF2Ji4bN8_IVtgQm5uv{uIglxV>Y9Oq`OM; zz!tep4b!Ouvb%PJ*wjHSYS!z#E}A(r!Xx7~@*4|J(Pp(dYjir?mW;!(T54S%6gbZb zYW!sj30)p(V!TT$0n%q0_UHN&!Ld&O-NNfffN$aTgJ80J-9S>TfXSLyWpho3^?Uo& z2B)i?Zj#tc4Ig+Pe z%kmH*7{1E#u!B+ns){GlnF4Xd7n=)M+%tnEOErqQJ#wjS!hSWJcJ|1|oOV{oJa+Bt z80Ty)XHf{kRUwJq!Sidv{4wK`nCEt?=1q!CO5DH@DBR>EZOc}r^etho*2w#4ozoO3 zKr#6E6@oPUcn(ytOR<;-?NF=ZUO)4{W;>lEOaJF5J8OTVcALiNQ|7ISBS`(vxZxBN zBX7sXXwNABn(^WE6Q=r8qY~`mm?ygR&L*3Mjq2XoR(;h!%cp6Z z=Nk%@{RniZ!=Xu#+l?d2kn$|(N3H+70*1;HrbH&S@yGJcJ&|3 znnQYVx+A<|M9Cv@8mRIfrSsqH^VCznT}=wv;pVGj<|F7n9~cGpDy3T7%EcPXmTRV# zk6)+HwY|qmuJBsyG#9$e?%Np>+7hm(7Dy*J-3`zp?9bOx+UjjK-l`RA^;+Bj+NKMk zQzjv3C1TU3>+M&}U__X-V-^TrJ%l*u4OftO@e&=Ixg4bK?1WZvBPUb9$I!SErl0NA z3PdQu;%ge5HecF#vfy%L%hQH!ZJ6`k11FueL(1<=+LZo$`1Uq%0Nec{$4pG^Y9neR z2?6J_2;N6M<5b{?M<(~-4#p}VINx2z1LB$e2EnQv3Ez6YXe_Cez+>^yiip>IxN*i> zfN;FdFN_8Ks@`LaKVhRl5KP*=$L9h@8L!x`^Kf0DCN=61-;zM!g1=;`yA-kixfZv_MDFpdnpbG_K%)d2zU>K z4uDp=zuDXn*_=^W`-=8?=RPNbdLlbUiRJEXimUgFW{7oYky3EH5>n4GeMf)3W!Dx2 z=Y!*e{(yFb@g#hKyv^-p3d9P)3QP@14b%wG2s8k#1?_?I52kl?W@0<-QSv5uVYr35 z<=q7Y?0|p6eGuJJ-eLl7p?B?e<9c%gJOVrdTbZdr$pg`yN4UE;i=q|pM`1aF37fg| zj}yYQciTxTyKH52HA1!b+6B}rZEb9wMr&EE0&JkQaEy5;86@(q58E%awuYXdYC2HP z?Q1$BmNM72`=8XWA7ylX@aPQ8eR!+#&>%jG^JZW&C@tIbaDo5=bY*&qVR>nJ@d;5NK9av5L1{B+(Ir8+4QIALN1Wmw5RMs_YhN9jlX7vg`yy`uM63VZs*byeO*&n zE$CcgyT&8{#kA9EL-&8U4a|V65;A{2R;0BmCRr(%p64K?yA^2wg_E#m0uBZgr=&ty zaBn(7bdaCfd1`*N8lhpk-KXs#9g5)F0YRmce-wka{GRg~Ua5Yfx91uEoR; zjbgLvLK_fvSguWZHV{|HuGM)=FmDBU7Z9Iac>v^xxK*fC*dN3{iUQc1AdHyM(BLb_WG;<(=TYwq70wJELu6uI<}= zDAO~o_(vpftoo-TEnHc?V${6TRvMVRyVYz2w>vm)5ma59y~{PfWQ?$JOUI+LE6tJ% zL>EyAAYX{lg+QHbQnd3xv51w$jI6Z2{<%$RV@j)s~sRl%NWO_=QrY z!tcvD1?s6r6}u`OyO27rwa@jMDr(mM%oUrhlly#x%0j}gLGR!(U&UX}4Y-zP&zkAR zb)tt8?l6M6D{D-FyGwiV8dtoRmjMvMw)00ipWY+Hw zKRMg(SXNn$02zhlnN85V!f}buPwL2KC1{~L9ZbK3Cwx>IT=mq zl(7J;NB0}8ST2sOh%%05;UzoQVV0qm)!EFZo8g_v;mw)u^NKMlwhOjwwheVD*=(k9 zN(M1}H;Dmyj=l-}YZUbPDB0HQX7(C;?rK%lD4)^VO&O8FHy$4W2heNpSFI#6k~Jgo zR=Fhizi=cocmJ99Pj@CxcwM5Pn7WK01puX=HLS^U-$_ld!kTMWILGZ6;Z9m>7GCOJ zYbTz@!`L>^iGr)9*XhU`<;T0Jx}gV%SRN8Kgd^M7AEQzKra<3%FdC!>FsV^55y{;6 zW|FWxc;d3%B=8EkL(-S4Etp7&2;Y!TN##9-Y4sUMkY|=%stjF#|{+JXR7uISH98UiB!=p zmsJL|qkwPRPj@(d4~m1)h|xd70f4Bbl>TnU5D;^iY6ZIh#fuVu_v>&epuNQr9?ZHC zeS>`ie#15(PUzQ@BXO)yo`8Peo!H1TPxs(4<7L{By&7rH~Aw<|Cc=XgRL?2aHdV-B>qePqsL@?sVdSCt!Wd{E|Koi;YIB z9-hl^3^t`S+KsxmG*fudj4FtgF!}>*XDm%Oo@#`X;BX4#Pdc%BF>>? zu}OiD7O?Iys6O)J9kA%%{~JyyT@(nVO7bX73uy*62j>3Vn zsJfICq)_qsqbM&r+{xZM01zMXt~5~(uXq1BwvhKltB>QFCnPqO%+ zD-VZmUo>Sipk4+RzuZ-}S?f673T%xcUJGZGtb=}>;$BU9ktFt-nsgnA_p+I@tl?xg93vB0ID-VX;kxJE3 zf&A7!6EdTj=u*r?OVzoM!AF%J zdrK$^U`&2?{vDBx%)ej>P6AMw3v#e{W3*9L>9Ww< z;*>Dr&#YkH0#heA@&yww(EYIBdoLML9%r8LuDS&J$31${?*WbC zKF2O@^y0dXfUQ_u`#`R)^{mn*zNc7?%)3URIDp;_5HzlsU;R#V-b5`9!K>;J*wQuk zWm!?m{&stOrGj*m4>HN9HggFTw^a?_;KSEEV-n|isT9Y54GbpqnKn`Iyp)RLKLSGY zKY_>b9|Lc^F2e}lBSsKCFLC1hc1)hVFGmP{)=dQeuD0+$5yWks0N?qa>PDWR7n6Ku z00LXpInQ#Qi@YOz)-^Pj0b^Icx>ur?@!#DD&Rt{-b2NABv9Q`N)#ADifvNmYe@6U| zfGd1YHgTWJCJvtTU882u{8x~1UHd>P{-=%*C<2}<{-lZ=col9fqsCzX`yjZB5md5~ z`s1p?$v*mYwFK`*!$lJPTJ<<{tzDq&;WYy@zn|7K=W6yN2HxzmIjXP}P zGX6)0>F4a{sU_aKydY9hWi1fN>ftMJ6Y~wFc{a`y{u5?oxAuU{-l@n0|BO!+5H&zl z*d6`$lg(>~HP-6h=)l)A6DfDf(=OIE>rUOglT$)qI$_45^U=!yZrcrO9D-|r{2J>NdO-y`pe|5)h^pP$2m>V2={g5x~8 z!h17cQn_8VXD4BTVQ_vL?-pK2RPD;j{RH@;pj8WcCJ z5ymSK0*Z4{%Ey(O_go%xxBf_GtpLSc9-zd{&=fcSjXcLa7R8X*O;M}xOozsTPjz|Q zZh5g=rfE)1o8#;Fd&%b{?zhepJKbmDIOqO0F2u$or%k8B+D_G7k6B#9_BW^BwDk`Zr5b6vkPvZ*yvF)XmG9ZnhDnz zN2X8=4W{s&V)|{wD=dMbaz`OCbfY>L2>P)=gu;YVVfYq4*KJ?8Pd;5(pLX5|A9#*o zQXwK1$~3Br1Irn^%sprh_@k}3`HN&0<3kj1nj#`L^LRd+p!n048k5`bPwVYZe4koE zgF<9b#Fbz%4@!~zy|N2*ugf$d7I=eXY?@8}ulEiH&pJ(}0A1tLNcEN=mc~KonC9I* z9;j=8XeWEgcf-EhA}fj}=beP;CCjnj>=CId%dva3=cH%(cN^*=-~?Fk7y8DG*_v1$ zli8*9j!1ZKh=|jl>HxjEhlqY$##W74B%-i)=`KG8EGw-RR2Isa(gmX?;lKeFfS~|_ z#X?3B{2mJt(w`jl@yE;Hmn^Qrka#R=oCv(@{kGR|q!0@uY8gz8?w;XE0_d{e4Fm4Z zThdZ8QvgNuzAP39AOZH87nRd@4`(%L&$u!?x#6bOcrL(5RO-Bzy2knh#Ylts8p;S= z{+>#3m21tz6$1Hw7bN%!i#P6H7b-lui$Nm}`+HY%&lDMz$cp(y8uS67hH=Mk|FqA) zPs0my!ko?=&;ETZ`UbAoLMtCa@5T;{yNvQu^Lp@U+BG{`5 z6T&RPFFiHO;AHEu?ty0g`s^J@YS@tlmp(lm0Q3cJI>eQi?0wP zY}>6vv*YJ#%zw>aFDHq71J^Jj}5K(Q)ut8RI?i-o3S5cHQedseBHA47S8jB3FyLkK$A1;Tozvm)2AV$ zRA3$vu?aODEkf?81^J+|FEBeT>U^p42TB`!0)%fAxGlvN_KR&@92N3bxo11tCqpD! z{rChE&fVZ2G0B$n$ExeLbRb%zA=*sqi{4gXCh|-ufUMju-brt3Z6&XB!IZv2u{_I=IRz=meR` z*K|}nTYb}@p4AthrZBF@p=Bc#s+7g`$5TU#Q0S73z}k$9Chcxe7bjDWZU0XmLkduk z7jg$KfcscLTCK6A_!Bs+QbPax{v4oLv)wJf%K*LECGhewXNhooy-H8HqMzyEhDD0( z-8mn%0qPK&>MQ=reC~|1Gdh!d0K1@|$#v+WZ3)s|*-)r>&lhDDB%CrOU`4@js`T&O znlxJ3>9D!2*f#^;G;`ZLcST1MPxG>5rMB-3fWa**o`a29OOQ1nH$Qsxr-jo{la3z( zZC36`;lR5W-}+{*gn97y{foAUI0v(@dyz_@_A!*FY?)yii4G68xr}0e`f(JN97Xi& zP|3Cp3DNSG8Fs(fS%=*Q@5lJJ@E?K$T_- z09xsBX+|#b^4tU#OmgErq_7)-U*J-7Nq282p5NB1`H7Rcype7*L@Eo%Bb&LJ*yp8| z#!P&m?cXlzzeZ2nMiK;cX&(>ivEn7vuIMa7`BUFCq!Cw;PFolEp$OQuO>I;MYqTTR?p3a_W)?SBwMe*Wz|J=71=XZb7`=3QdYiJ<2iI&B@Git? z?QX#WgWHT9m>U-$!+JBr>HRn~8pHOD@OO*(TMnMrNWk6(Ny(Y-ga3YOaPkmb`v5<8 zcMG9`YP9wr~4=)`gYZmyIjPhTTyQ}51)MW(v3LpJfVzq^1DbEFjzl|kBahss_n zcflC^vKa$!yL({Md3%SfCFSu8fMC)%g(#hL7JZWLAK>Bf%CUjvCwx8hMg6`OLnJ!O zBh0Xyu}P<;*+)<)p@iPgmPClO=3|cHP5!5yIro;F$zS;4Q}zL!K~RTjU|YoY*jy#b zdz+o9nSQ+C)WFp?>nCdMm~S9CAk)9Qmz-|DAle$IN5v$%)mLarq(MXadp|~LEsZ~nn5y)I z`LxapF$Od4$NDG|GYBT@85W5W5b+$m@HMHK1824!S57q2+P~-O;K6P-%UOZVI6%YFRP) zqv}YBQIrN(E%7SFl=F~iepwIWk}1>q4%Fc-5VoMsiQyWTsta!U(Vr8FohKGukTF?+ z;aVJGjy(IF>iaP{& z9dgT}=($-rfHrgG9wHRH#cPSl61R7hu9m8`xKq1}rRR}};;7RR4aJ8a(~T=@<@NTE zSqpSv&TwxS@$$7Wt9ECay?kAyqI;11RNfL!^FJr)I-Wraesnz%g*WE+`-fIpRpv4X zu~b-OjcgjLjOGgwz!MH~u z)l+k6pn=&|#~U|_zWZjw%MbrV(g~Z6(m|$!Cex)?;W8GjvK@G*&oA~v#I>VRhQps322JTG z^+9OaMAoj&gNWNqdUNh4#;s|PD6a$tjK!luxSBT-8`{K>gbgnS?Dz(FWErc+1x*iP z1FWEu+iA@mag>MQh1t&2`c`n+%aGe8i4-VZS$Yd z1GqGDjL3VlK$m608{3$S>I*@BWMjGJ&4Ej#=vRJsXpNre>Ow%RRvS1Ce7(Kq$Um>U)Fhib!2X%`=EDZ?h}()P`2{;<$++g+bw&Oh9@Nxvdwq$CmW{b``itAiRshN^OLR? ziOmw>->IJRNN6dgC*`~p0|u|Cv#Q;+HXosl2>1g13 zyQ@kYYX?0^e7E#4e#yMofervZ{LBR|*v@l3C6}&s34@F-sD8-p2Jb89oj5({K;tMb zRsHRkrFEXzjGenwojYsY3l@671*@@^{ti1xVVq}Dtade-b5-F2LK=f^9d6nZK=Av4 zYRuDP*rV6WIJ>Iwm#sna{fUXuLhLd>+rF0b0FyB0svIdAC+ z)`<^xJzGsFA08WRSB^pj5+ug-fucl$7RkfiT%*J$+VMRfmS4I~_Ryx}>8pnyokB8G z&B^%p|4`_wO#mD3OdVeU$NxXQRGAYF8>2YAQZmg}Z*m(Ee@hIcmOFs-W3|*{!>nR! z^^bsoT2XJwFd@~9*Aea@28YNe-rrh50P^Vdncf6L7~6RGAvP2#yJGIQ&y%N;a345kpBT z^{RJ$rw>F{4ceA1R;=;NJvO-NybG0St9^olmcY1?X#hBAFLhZ9j~&Ck7aw3HB|F#b zPbDMLN*qZqpYT(6{_XZ_&{@yQ^v#|bGix{*lVW;PcuDE1>!UH7yOIe5(_(+Q-u%Iti;tn~hrCgl3sin9s4*hD9~ zM28r&e7ya8EL}s%HpWSI+$-a~S zHbURA7}@wjLOyRvsBWb|giTz`A2O~G#DlH%@<#r+r*o3Tjvw^Yjo`iI@<1m1eTN)9 zBWcWDH7xv`+(^iFS8E>u-mfJ!`=>19(ZY}NV?z&uADIuj?;g1mq%R7&6QXYdxf4w2 zAK*5Cj32m54KYIe6S1!nxf81I0{H_z2o3oIKgi(MtvJ~w1|@|Dc~u$ZOEbnl1d$!? zqaCBmP8yYTPI8{BR@>pdFF*k1jRZSjmmR`__$OQ+H_WuiEhR)SawlZp2688O9}|fu zRuCLAypSVG5G=$1vMLF7;4UgeDhYPTE-U~-g9JO+5I^V#9F)1;OC~2o67nLkCsGg( zgbK2(2s3ye2TYBKBY4m=N$Zb31{i^WT}}uhVP?2K3FOVdT}B8OO8fot2$q z=#W1&7%f`)s6IrLzMzR;u}Mr}$g}}YVvW4$pc%{&wX21uT_bDMc65otyltJDH&9P_ zV&>7suyYrzJ-9c({mS&ht7+~`X_0Ro$=u^Na2A(I*IS#QJi@OE3!P;VG^&=Hy&GB` z*4Z0oa+YGJHWN_-r>xf#((za;e>jH?g8Bw+uO0pMiMVeS=V^+s$JJJD&}Ij)kcuaj zyHcYdp6&fGnTJ*KkEWm8rC)3;q;C|` zD*F*sze_gSFWb0p9B3Bg98EY@(lqWS zV{^ffsaVr``#D<6@qK2*g=_P{pqrF9guJeY-hO-D;Nv1v{dFb>^qG6}fsi*j85q*M z4wobnyQIMO`>(s*%={8 zimmQkstC}Px_HP$g{CC7a4N?vG76a0o5 z_~&|vIX@ts>iLLwLK zHRs-i(<52b2hT>sH#Q8#G{(iCGE%xL$)=PE_TE+ijfCz4IYSF8eJeBlJ$3LRbqFDU>O?-3Ll%Qcp^{`+UjE}TpDE3WtTbyh5 z_g(ODOGpHppR$uf^j;P*b7d*&8|1%$g#Qrw#Gfh)R{serQ~&FQ`tO83Pg9fsOXy3T z-2#6E=rr4jSb!sv1A@*DLPh0LGj;guYEV{FeDrc;3=@~sMv)Llfre&=@hwkwwf*xzkuSog+*k3nQhT?@d3DkLtTtVi zweDfV|NhAjO2h#%+}=y&11jKP+gb_d+m2-jAj)NjxIP0j*$CHU8mOvUO6i1u2-0TU z)#0eCY0Yw~=()K4@>%^eSrrR-c!VYbl+JHItXB0s`kf^;&Azx9q*|Bnlr{1~wzn#!rQQWw4RKi-WLNOFc94hV=P4oGVM4mkRMMv<-VfY$=F z$#6L0cna7=VcHDpE&%Q{)XF8BY21UrXRwNXG95gRSUB}o#=mijqO^EzFwRQ&w62SI z2uGsdPz=2$=@Jgi_9M_S{4!k_Wq((v<}#s5ghGmyh>=!z>@&vnbilsxG#X%f?LtK6 zpr>P_)T4xV>07FxZXL(nU#!TRZp{Hy@w8Yh<2#NC{r!-fr<-u=CCR=#kC&{2Dqr0q z1{h(?FC^R~f6yC$sHO01Vb`n}v+R3d{QS{T9nHw?#fk_`}kfGX1we?1ZAsDG@*Jfsp^ED3i1Ay=dVceWOT2sn`R}dOBt?< zucfVI;Z}80WIf42bE}9jPUIp$qq1uL)I)XM`4^G7nr9M>h)o7qA)%v1(5Pul%@g9p z*et*_{dp(O7tjr4mA<}n4|`2=dslQA-@yn#qD4gR^;-G6{gZ*tfORNpgK8sha%4y` zx;i6Smq4MnnjCBt!B)eJbfbQAw?*E`qF9f*h4~=#0_3CW2LVD@a?=^$KJ%aAb;K*3 zM#I`@h9!epnBoc>xZ>Q#Dy?1PT%IVXMYA(OzNisMSO2fn@rcefZ5v?Lq%%s0|s0@;*a=X6bKaR{K#4@^DK&t?W5grTvWJ z<~b36sR&tPVJ@&wZx2v_6w#9VX3Pknj(f5Br~>$e3s)@VkWbW{Q5G+Ld-tWn=4KLf zF`L9UDzSG^>G#^VHpaU`iEyE*lpv%N7{)(coVEIo1kU!e4A6P_QL9CWFY2s)(pYS~ zBbjpq9=`hAETOWm?_`__JCslGx_YD6hb2UM-2tDpwdl_LuxJH<0RxCn#k5&51Tim% zy(_>zRwxA-vnU{ttgFy}*azB*v^oeca-`eO~?VMB7BTQ6pq`-7y!)a_i}qoC$_lCd;Yyj+mki7+K}3Y9UlBiVoJ ztlYW**mc0m|2Hd#50G9;)sMU#;4j6*!>a-OL!aSC;AKxh9CzKDxLv`yFNu}hcnBWF zC}ixe$fpx@@1RVV_BVY}3K^aCIgWP014rDvi)A%gDdilnew7bn^;~K=Tsjm0;UN$R zJ5b}wjeqHlJbX2lsQu^-))E~je_N%FkS7plcs+Kw*x3>X<_y=jIe8ero6(Dz&&|s`q zb_HE_R}bggs_3T=t%)fy@;D^K_fxLT3V~=+HwwaO`G~exCbI19ptNEB$^tT;2QcP* zktQ;GRusn4ih6MhBN9E0P}|j-_#P+&N>+X948J3YO>t zfE#&a!29cm|0OGbc_DaCT^3`A?OMidyB7XN&{*9od7=}|vWKwG-m#oRbqa3~5V#)C z*T+Bp(*Vx9iPn1t%oHXa2qel~f8vDadau_OGPQg+r!QtIqwgAlO;7<54Sb+qV{j&? zR}KcK;Q4FTBu)3JKy=b!N8`Ks!PPpMa%|wA0h`TbDWQ!4y!*0pH z8H3HXRedLj5|(;xFKquN{|>e>DmW7KZv+Bva5V80PWw~HtyX@5DVC{{PD&x5AkXAs z=!MVRF_Pe2yGc4`bh1#=lc2gPrfr}pSJ#>E2WjNT?cn65h16^yA)&rQLI%djKM-xg zEnoDkFE6ZBAo-k?Xb_f>Ap^m66S{r;Rz=1WapYmGL9K5zf)vXj(U};x_h#jazAOOp zpu)Y`TlVhV7$Q_}>O4s0RHM;?MOmZ!H8}M@uW)-!gv+<+p1~RPBQb)a@E(%&P%lgm zSIK>@JCqB$K<@@hZknNSg`0YB*{jD@S4J12W>;ePQF4X*=Peo9{mm=MmxNkw1tLR8 zi{Hjg%4J!B9^?3xRDvZW-vr{TB!mFjk(qi+;kH_Jdt%FePOqQQ%={6K(%22r9T#o8 zO^~0*`AIw3vzyL&`ImZwJtMiVIQ**_rN$hZ-aFgWj_$L`-Nf*p`ZmZ&f zLLq~r3gsN%!*M7i`+oz-xcm2x=;hpS^+y3>m@mHk ztw@bMV<8MbtCD(P6E3a2RR(7XjaVqV=0s$T5HQ^Nz`O&9H5}z`{$yasLuR|Od}-v) z$ues(OJPp)%5sMIqgovLeE{&JGz2I4`<~PH7*ha|+9KyYfq%w0$fQYE_VT7OoWI}%`9PS}ib*RO&NxSCC<;j;#a!6Vu<*Rolp;YkKw7oloVAm<2CO^JeuxpiDkh+8!=uW~8z!&3{zf3*Z`grVP%H0$MMy47-X z2tL;fuMp8Qm&&J{beI6ky!mhrW?{Gc=F>Y%q2pzl@kei&o*~>%Q(MAh7R($7v}2L( z#BZ41RkHK$+S+#=XDFMk@c9I3#xi@H`~W@R*+es1xywQMHFB4QZ1Wih2^FWFs|n|i zQ5qPpnxBZ^VMCg|QffhVb2AV8vbCihsx|1)ASZk95V?DOf{}m%%YGU|<2oL2Kb~bZ z2#<$=Wp{W>u8H+l7gQUh4XX+2ORen} z2>k75G>6ItuUa(BIzCE$hZa%H5(%mXWJyp^1z&3D`QWHzr-_%|e**gtQd}SI#&=NAj!XtG9deWSq6%rvm^t&TaDs}S)?Pn(7D~4jhcws z3vcezmN6%BH!*j#JIL4l(Ea0DLn^i>#AB#qRc+eK482Q22MN#?yCBwi6_+T8G@jFi z@T!VS*SxFls(`h;?xlnn;2AOAVP{Z2s(wy5i$<4C^2;7{)bGvB*f4AiL_2G;%Szl(8sVF=#H6alIpqRhTb*soQkRE;Ie zqlw(ezxE)u8IUskk=_;$xP{VT7PeA~cN8 z*2)>YrK|M)3h*C52z+&$W)FTA`DXy4Ir^h>=}%T|IP3%e;W~|Y%o`|qv=+eJCxDmy zVXS7PBEKhxkqZ58QDOhS+0d=V(jlaqBSnA{VKbgf2 zH}kE`J(Le~H^cnC(Pzj@7Jk>8YxzF~4n2e?L=##_nR`%_X8bIey{6F_SK0s=qy)TU z#UL2?4B!0&S8Hw`ywsZWHSnJ-%>t6{Yazs~9{!(s(#sj#v5pePdnm9vZ$J1ndYVD` zCFGRHu5-Pq!iA1KxT~0BM}=@7$Fiv3_zM68V89X1{=);P3xV@YWf0=`tBr;B)6E<*Fw@;7J`B zsLPgLXK%U0j~kblBEVn{VYGUB6DCVlwsD8)QgQ^RlC685k8|=Mg<*hew$@_tgATr11P*JkOQ6wm+@Ku}!>pvNj~r(F=(!F`%K4H1{@vfAnSJnM zn3iJeQY~%bTHkb(sSRT`EH$o`aUrznq3kwxrH=zLxH8x?pgUT)vVcuB@oYD|2H0^y;K(Bd+CrrQP`BwWonpe%GT^LqrVrFdeyb)7^XTqh*t3IyGnxLO z=N9u`x^_Sc0M*=O8L`HUI+S9}z%n%ZgKBc7U^WrHZre5b?6`uFxS#Ex;R^ZKBK}3JqQBus_$_oAQ{+a4{8G5O30N7 zGq6{t)sNyi0hPR*WG^nFf+daFgGtt!&ukCo--q3#S_9ioUirSa4L;0q3w^|~oAHa< zup70~vEatUU_kOwvC)|KYs`4nMky1|t3inO5)aA&3rbRkr*d7snD6NUM(LbWZf93r z<@h8tDQm!H*v|BXD7@d4kqpg}qp0yn8fxtXU8uYKUkjyuqH7S8ZF}sLU5q=Fz)jTH9E$Iu025*^yi7i?0hY1lfdC$D8Q#-K6BW!RN>5pUk45fN5)wK3}5hqUyZ zV>~+I8dd~wOk!MP5`X8d&as&aF|Y@TO?D$)T@1iIlRdh}pF6S$<0!hmdNAikqqza+ z?*X%Q>fmm|#TA`FK;izu4~GJS1ITQ&+__UObvJCusqw~ReESJSS2CG39~tF)Cj~N< zkrpG0hcYk9%s(6m8mj+hF$hO&fm~UI`i91qNR=+;Q3}6aJAc|Ek6G8>t9p5itE$q9P4sAd z-iTC^@hb}cufDE49LlZ_&zK=g7@F)`_Q)1O_Pn+*mJm`Bk}%BJBAF~%vL$0igzP)n zm$5`eXlz-kkzKqfOS~GAZ$|G`>Z^C=I{!T9Irq8GeLd%#KYr)jzmUQ3xCuH1b^ckq z@v`2q?#k(1R03Vatj9xcAL}Cnn7nGGbjhqGT~#-S3;lf@PS-nSlY`@Y3wYv}Eb}Ha zGIMI)xwROFQI%LjUkP0U*aUT&>+nEV(K_K>Nm)+Q8+oG7wU&)JpgKmbeouUSU#|om zYiVP?FSVf#`kb?)4$F0`vEo=Al@ZV}N*_m;Ivj)CL1`!~z}s|gy^BTr!OCLRmGzj~ zCdM2YeP=>e*RGUJvG9LLzfR=6(WW^h(>))5vA2Dsq}eN zMR(O53%ZAAd5dWJ1I41+Omvf(f5Bs5Z|iq zz`&f)P$}vZ=%O^wGbz-EUi)y*LYo2NZeoO*LFk z;?G%ljEBt1>4@BQxdut&LmD)mb}a)v4bUhI%Hsktbu{#mLTDxNy&12a6Y(Z(547(< zY`@ew_U#aoHgE`2^^DYFT)b%d%xV0^Jk$`aM@E|Vn(InbU*!glKkXR9*C?2}P|MP( znS6TBK9?YBb>_=O5zzgUv9vs=Tt??KNYueT2ed|Y0l8! z4R~s&l{@@4Hti|`r9>RdOTzY8OBJBwsg3%Ka5x~5Q)cA8cl{l=y4Kr?oUDfv+^c~A z+2yi&b4Xx!#O^d8Y`2$~<+q$SfO0<5Az~sgN}nMWQE;!(_mgY(hVy;8x~7|>nfD|t zoM$*%B!?{+^yw`X@xAG;=Ad1CC9R#I<|2R4B1SP;F8ED6IxG*uTAHd`5-sHg-_ZU0 z>KZzWD>h*@D_6>?K_JNW5!=#?V1i@od6_DL2}`MDe&sY7*-^yh~StO%Ym$RUk zSdIEmM52mR=CHILSBEq0%1$G?vtPpSu=V}`fN8K{Mgrs%V)k@w7tj`!_$UREi3nG- z6Pi8SG|)d;o}VK+X31RPQd-lcx8iKH^j@f6v;!8n*GDP*#F0g1dwC!$$tdLO%bfBH zD=O?ao?Y=53zT-31hQeAqXB!Rl9Z1x9BsF zv34Ltn<3;x3{N<&q_N`=s-=gEAwPgoc}+X6Z)=SAO=zwhJZLCmN2%u-(egHHSjx#d zYWB9T0aC})!tTDkrp;xa=PD!I!Tv0o?^1oIgr*plFFNDBYH(?hAS|#WlRJ8V34F}9 zshJnhV*1Gijc3!Hj@7uT==E}OczTm7UU=>2m=Ps%bei@u)`kcYA%{dli6BFX2OL)H zoFR^eP`3I4cqJ3w@Kkup;a}n@(+P2PH~;`pkKH4Jxc4)hMZYMdmaX>mF$07`y{OZ! z-D?mbxQ=f8ePKfNfytI<7bj7^QycBv%y=<>Q)W3d=*qHgt&C&ugkVii0tBc;(`2a#_>5487^WJWXfa;S|hCCB;s+t4DpormX#=@dWAV5Z)d z(M?bbEyG*QsErm(Xay+s*zBXUg9VOQT8n8qaciJN#2|DCaK0dvE1;h57^bg4?w|9j zln>9 zPkM6|)G{n?tD^05i$9+;KQmnJHAza%8Jurb-W&AZEdG6t?BU*U9gjhx+Cu0Hc7bIM zn{*ig6uaO;I2|PUilB^!S03RyuD1F7=;FGd<_R<88L1w*_WQO~$FD?U?(0bhA!U;M z??f@jMC!?9*JllFM#+234IUjq)kAZx={9!S7g9>FO|qFK)FqThbh^ zq8-1Z+v+wNltMG()&Sx4I%~jYX0DW%SrI|GtC#+XDTdXd@N-WRN*>Bb1W}0&*i<@N zH*vX@=27*T)c|waW2pORo|?Qffyqv^I;y00Ln9nvp5Bx?OuF8Up>I$03s6L`AAej{ zfnw*LJ0U;=7Y^%Er5uXLilRxxJGse1-58CgOEWLuyyiVlB+MJ5`yi#KeCDc;*j}au zWqZWLc1bGoL0eG_YNkUy-scx!!-$gJI&9a->$JvDl?V2~KnCj*(swuRHq!YOE!nsF z#w^CjbFOb7{5)t!4eQwhs`_MCQBE1=>S=e%uRrqbaZ`#&)xhi2x)6*hYdPQJ4K9$7 z_7-#N(XU3;;>VY(qduD7l2^XieDgE?!F2#Tw+j*?&HuW?UqKKc1<7fXXAdMwexSDp zk^oYZCq>*B0xszmSeS+Eu}&@~20+prfsz&hYJdqmsR4YFh3vCQE67ZX ze&4Rn%H2V<1d9cN#kha>2AS16QKSivQ2+*W|nOB);E%wZRth6yME4;cz#& zmxVbE0Q9@A$l>)LSslsY^@BJ%$|M|Y1O=FJe*NUMDmvHfhyNXIMxIg)+DRIEA(d=ynx0QxS$zkaFzA;Hg< zK?f!1uQ`B2HW2y)3R#N-7amdHhe0~G0H_UCdj11u|NbKh=67O{VfK%zlC1xSjL5m9 z50WW-^#kR`74qqaKfst6k#K%KHt`MT8=K^J2XOv{`P0`yK45^&0E1a{vL+6+BJCA_ zP=fqP?Qg!MfisZ$WBq9e8rU5$m{;~sy?x()NsXC)YB-KHq6CxN{C+bN$)f$dwH+Mn z!1j0A2R6Dt2}gpGSwEKsCJ`?r6^4H~eABIESNsQ-m$%OqN#FmF0Slo5b5U%4#Y$3v z*$jUWr=%j!)1##S94U1+3;+g50<`5cP4vuQ2wiDkYhMKXq?wtQxedZfUtU4R73pGn T($&S)Slm@XSJObo)k5`u5#VqG delta 37226 zcmZs?Wl$wSvn{&WxVyW%ySqDs!!WoFZUYRkad&rjx4{N?cXxO9K_A~a@ypLy4$y9E$*ifsl%i z7fMum*g`e;74VeHC-1hC6Th1cAN&Bq5vS33J*9ofOS@V8pZI8kppr-`NOztiia2mA zMQyh+*!99E+sa8q^x(&JHCNc$6tY77L8yg* z$UqZ815<^{=ZN2*QAXAF0Z@px2^r~6`8hL|;v&0*uz>$f5n#SSdlrg)rPqBc9iXAN zJ`lnqf|L#2rPe`t0Uc$-(2Yhu?^k-l2kJ)NW=c`#jj9B1&9Hdqv&zDyOL+A+73>)e zybKxrK$Eg6LX#re^ZUe~MOf;fZ&;JMCbFWB zN9C@AVyc4*_2iC(HS!a=_yxuj^%v6bjn7yp-VjB~r zzh?cXWbg{ax#!WW5s|uvRHbV+>Zvv|KNK7vig~wk6J74krc=2tAZq@mZLAa^FQA+v z2N#Dl8J{c!^MDL0h!xyqeNgN9Fsp)OZ%)ZVkH!PADE^`VOU6*>{ATyJA3nt5&G}ZZ zlyy|!yhz6uu@}%&gNeOZpD4oqPI{O5)OS`?zn(R3(K4%W*BJdYnA7(*ZLqULZlbej zF**3XRoBNwQVDp=$#iY^gI{hF0d=*A>{T>_&I*@ap%MPi_viaFAp}SP6s1Y10ulnh zyz&3?0&amJw?MGwTOiPv=qsG!Li%6vlyp)cH;nJsM|-(Lj+nAS3MBYCH|2>Gmv&zBI~ZnRubZHZ>37Z znuyqdnG7Z5SHV!voDn@iwG387A2bN=rgp1SAoBh&#@{N{p#A%JNJO7xOFD8u&=o;+ z$jq>2JRR=3biVx1kmXWdDK)&~s zy;QocZ046UbEt1fs8EyH{!swQGdhKZ0$#%OXV&t443g-9be>DLwyNS+EQnyCLYx_T z68Ck0{NbofMlsJO{GQs46a>AYS0n4BB_+iY!@m29Pvm>oU0t#OjXGbvDcwTr)pWdH z+TL7Kb*rpcy{FnD;w4KKS52j)jMD7V8)1fBLh~J3VQq8ojiS@EM2H{}-F}Jr{U570 zVJ~xA$FD~&zh*zO#1JzxgUKY$vPQMd(@Bi)u7y&Wb1HvK{q1@=%CcLd{;rUGWP7jE ztXiSP^I)cRj!wPyDdEAAgG?4Q8L(oAr~RbLy-*VLC(*#iE&77F2z*RXo4bQxoZF6K z=Y}a0g1cg%Yp7uBj^q;*ddmzyg zHuZsEPU5$)Xe@pP5I-EFC!z1qs-d z4u1~`%P@)1dA)li2>yEZFHt*@$p*FKD0Tj8Qr=S!TlZ?}ip5v^<$u3?JnVeZ`uYp9 zSG{WXnt|OdwDqjcF#q>U6?IDaawTFuv9kUc&Qj~Ri_vf2qnA;@T z`*LkCmu$o6T&4BQx=KOhGzADopPRmsB>8w3j()SP9388$gK87_lz?doT39_^A=4PBdJTH}{YkKD@-dyel zva_G&W-4y)%Y_L$@RVyFG8Ukf!56om~ai|(UhRV8w3COAtgY#h>)g;{4gqvmc=-v!H_b?qRf%L2GTBq z_0iV1ZL;{8q<3>Fs<0|wlf~-*zDaK9fUq&*yd?BcZ)iHeC?I`Z4Gv)UGrxbIbSXzFUQJ|yTwsRTYeEa;6szU{!*ZldmjGuXK_1i-Awp>O z6~M6t^I%y9-Wpay?&qoCw}uyggY0^^WUWE-(mdHSJ`*vMl_9F9pg`6^iw|Ld9T86s z+$WV61Ks*lqDD{-AUELY=nR6OkbjGHfF;{=mVQH5ad$}y(2XI5p&5zAsA7ILqP+#! zJBBBTCF=<}RYWKZU_8H@{s|4YnCGh|T>G*79qLhpU63%}IOPU_snA5(6OH);SBnc* zE0UhjKD@4ehRV58R+IOu)2Cwyf2UjY2RqEKb$coI1{TT*Sc{urnSRxt(;lbL;NE&`z9{OY^yS*TLOwc*9Dw3M%4=VXsu2R!;W2s`?r&Tsz?OYOC(C z>ap%XS;iphSanPtpme+lTFnhad~O=0L(wB-x_mwd#?duIJd|d-h>e_iGQ+7%y%noC zHOnH;DMUTQppq}$_hi5s2qs*!*CdN3Dg;7R_abgvB`R+W##SCZB~F18uMJ^Tr8Xvi z+;0)$o^*ld)UY0+bZSf?(1XI1EKkG`K131#_l|@fAV(F7h!}o~q5xpQa4o$Ci0bZuvAN=}LErrV4GW3=80!~`$F=Jh zGMx6$&(!yeZJefKtVGTew#Y{wN#w~#{$~RzfgFh<0u0R_lsV`jqBblmS$5_RJw|>Z z&U$a&O%PcoY|&s$BKsALzYxf1fUSA5>l|cza*Nc+ooef>oT635z3sAsxz`XT`29ZO zni}nUmj3L=^oZFy2z~bTbWByl2b?sxwY2#5FA4Wc&^>VDM@s7H`Ds8GjE|nA(_T+M zarL3rSPz@blM>*Hv-z8iWshUK=Ug?{S@utU#_7Y@dV-+}!UHIn7J2U?3VtTvr}PXK zu3`*sHQAsy*Nx+?7&W>26`*@f>!-1}oMU7TiD6zia1zRvACbL9_Nh%;?g2hMG+H?GIHi?D%JtQ{Ec4 z#tV*S1~P6e(PHUeCk#2r@*gu~DNZHwBNirDS8`s=cjKXJ&AID#r`-hkm+0tiAlQ_G z+O=wqKR|OZR_VP`B;>-wzaQG}grj1MX}?CB0=`D0Kfea?=3$Od=L!{Zzh5=IA7|Ee zJ?a#8AD8gzl&IRzM-EfjN{YFaT=b@UyClBTJI(s?t`s853|}^OEY+TDHSAwa4!04k zV7JT1oU3jB4og3$Bj7(E>X@i?UOhZ7SNa1w#jTTHJqXM)z+e9@#NQT%0Y5|{+Tiv} zj>Sz$PUR%CriDVSzOF{CUhc<6EawQ4rL%wanq}%jYGUpX>b;v3%E}QkI!82DqG1xb z_6BNCX_C5We_?9GB9A{Ors&f@h=N^hlJxin4>;|b$+(J7n|(Zj*5L5QEd;xSZAWx6ys z2NDP4z5!t3?}McxgHk`dDl4^{PSDV{E``9Y@$ z`&+c0`KX_hwLvY;$Q-;fh*kVB-Y_{)T5+6#&Mb9>$I56tc1ngtem4*H(ET^aG+f8x zS0Ty<07Gs%i7Z!Vc|xSYQHayBPBQP-QikZ3>c6u#CMXPzk~208ZABDrT{~Yl%(pq+ zm}`?HxwsN8^+YgQ#|FK$U4x2QG;0L@0+Nh5j9jir9iG03iY922K9P*0NyZ@FH!5Bt zi6%}uiKbCJg6=ElSrqnz+Hxg4YgF=UakR=LU}e?A&^*+`;#>43!de4rA`_QU5@oJ1 z&IUtJl4G* z?6a{KcfM z;FwEfcx;Q^zcNhr29N&ZZ*H|)-aUs7sU&=sgu$$hVwV(;zn6|-y_fqZ#GI)3CT4X|U1;k8N*+BB^&M0^9TITc-G=S2EUF`8{SBNu z-_`sSC|Rzgj_7|%ieyQYekk%Cif%F%-ZCB8)pcSd7qQj%pCcv#a1s)3sVbH(uMIYr zAsucvvfO|jS>d6L$+s=blmdF7>Vz%z13`cA?HK_H?1-&6i9ZmQ^Xmputz8OGt<{FJ zNS{K;kU@!E#qg;uaibUif%-=4TzikqzPint@8qO4>OH$OUi1p9H2nzFL5QT9=f{*< z&Sjt&<(kK+N_IY1*JuFaFSRHhQBo67Sc$`<9#Yac!>JAMWKBP(5FJh=gpKBPD+gG0 zBb};R)+YoKA-dh<#di+D)#B$UpEV?%wH0xL6eT8dHfe2{gKg0!DK!1neU2$B9H+&t${_{YkO1MzVje{=l$gMM%3Dr-~Yvv7^C)#hE)3&7(E zqDVpHt*00{eEW7MepN2^tMRz-{m|5k+tCxwRly+6kT1x9y2sKeY4|hN;J2>l1_bRY z8wYvPKRUNexw*;Y=O+IQ2T3@~rs0&|{frOZ2uEAp4o)gSS;|D@XL(@=piYuigaRB$3>3`cW7!6N=^<>&uAxb0a~Z4F z{Fvjmj$>3>coHwNXSD4Ew>&@&!_IHUYgv3zUuDg~4y@3T%@v>8UIEwwUz#g2ilvFfb3m6 zyQoUuy;psM!CY?t9i9sFCdyEJTDA7no7Yq_Mu3|`w_=NUr*kmuPeW4nnsRQ>*c;)T zn8OpURl}b?s9{#3BDGR^2y{zTE4CdrPLhCIH%ivv$)M-fa2oChCh#hUf74&@*|6!o zaB3e`!8<6z69e}cnE4)%!ZTQ_2r@9m2)b26%=K;Gt*z;ik5pOPmxR)zVP4>YAEvj1 zx4K3v*=in%7!IfW$xlirSqTkFmrRN@(2PLYo zqv>Ag6o28!RTG-^Akb%`wnmb)t~2pF5MkVh5p!5%EdV#*kL5iAR9FTZ6x|QA4ANSa zQYw1qpSY&OQEL;~TV7jsA0Q{!cT(s5QPrx&7BJ}fKb}YT(EqLsYXlHV<_wz}!T7mwccc@;_<@yVqtcSy1YGbc5bD6IP|9)Hw1YF?O!(x=3x4|T4fadC5{bCgLr*1dhcrbiA>#`ZTmT4bdB_g^!Ne&f9RwC(3eVV z!2MnT00a;K0{{@d_)8UAds9gzaV9G}6Q}RGZ?RrHJ+Mh5xwJH~bZSbn2Q1tO2 z?g?~y3b8Vx8X442&oZWqvH8f?W-SNP1KjXd+xGh(%3~g=I8^yy!`?@qa=61Royb~b z)E{34WM6Cboos~eseF009r^%>XK|prtq2et@A75&?fXM**(LxHEq1^-n5W=o=<|kG zT~!b9{3$zwooCNs2TLceW4PguNz6#?<@?Q$X;hX2x0!?N`e=ZVBZDlK9PiCS$;Gw! z?j>Hl8_<+xECQ9oEV~oz|4jQo1Q>*vOHC&v0N{WC03d$NI>nh2hz1h<;%kGFM%47A zF@kYToxMdJ0N~IpB!{>h?8s;^xOyb)E6duQgCqfwD8R79hH?6Ruv@Mba|`A>k}`(d zoX)l)ER8zs#LmzAtt!o&t_;Y%HTzGWukFc3%gCo^N^1*)=bJ&-lyl~Yxzi89wblk) zWr~c4^D&j4h8(8CE0B+D&Xj{|hfaz-c0-z2+~s$3ObubKpJcLd+^JIghn<#Y48(}B zE88&i79JxU8eabf>{_vU?<$rtzutmJOf|K?_<;uO_2PwVA#BDLKJ`qG zmXXas#y3TlpVU~O@JAnG*uvws6_+9O5ladq%J>^w0_}-_@EB{o@jk5u`Ge&}LZ8(IGvC7pSTIhbhOhYj z*nc3XON1aF-DyI%P=n)W!1rS|nH*Q#{6Hb$!o!-gXqK$A=vpTUmmz|QlW5+9(9>v- z)fvLO(K<8!%yxZiv=!|I3a8q9*?X@QmhZpW&ccAt8*tkckk^fiX@4wM=*#<(dQ1Kg z3a;i4g$9!|ybYAqY}k~QYNruo`xo(Jlmsm+#A!D`IM#_^Ipd z^M{YL2VqqGNmp#WU&{AxV5m7~e5wr=BoeMPs<9_ttUbbS{zsA1!Ax;iKRZ<4iK0{L3HN@t^8(9nCBDWtVr`_=%LF&Aw|QSacHB`r_J5y2a+QsYx6fLHYQ z)>1uclUxH5V$P#^lfUg=u882fXF@RHcTW|N5D%Z_8L4!LjrZib0^#d^#eBR zvQ@Krm8dZX)heU6hoh}7t-S@7^3w1M0gd3DJW0E|M*Kk($kuNp%J2l3?#c#_@Cef& zfnP8RGaOSe2njiVAvRT0JAkyejw0L1n(pG;*^4|>EK+*}Xf(5I_HYW*3{$WQvj|i0 z3Ud_7@Z-}MQ*e>fXusei=O98Lp{$~Hwgbh^(Vs=Ykl-R`>4edj^K6M8S`hc(FYd~_ zF$u5JyMY}$LVn*@_gVdZdiJ3DK@i@7Lc4`1-Q^6E3~pk(>G}3y{oupz>bof~Zo<3a zC_PlV3!l!D`vgjw+ewlHJx3CN5%=kWz&ngR13!j~>o$@2Xm@=QMZI>K>v$!1?QM3! zBT7GB&mlped}Gf$aIA3*9B9iiJaJpJF+WuWQ~+NmyABo5XPy=zU#FQ?K3fMd8BWu1 z!*I;7aKkoEqa=thN8Nk_h9O#QINQhM%TdLv54YL;C zO~}_mi6S8}1o0NS6)J)u%}ox1{v(-#V$u!&;!gs$7z?qG1@pqm$P#7xI95p=8l^{P z=h7-`m{Bjoyv^H&j)5b7sIk235;R^QCL`a_4fdUE*;muFK*NyWsX}@j_a*eV-zZg) zgBjpVCJRoFe6>*5K>^!s@WtVwt>`vgXnDnQ2+}G+E}E@HqEtYtOFhNR{ulupG0FU1 z9g;+memFh3_<(&zZTss9xdcZ=Z z14ty%D133RA<>X0!TS^JQ3^=`5V_I}x=oaF`4qZ|Gzs+#dP)RCO8rhr*s_`*@UkA5 z{AKmO%53xxs#4sNxDBk2Zn?a>=6*a~T&@)i8U{n@kGN?P^0d0+#@{}Lbk@Cg2YM%XkMqs90T(x!>-O_u)_^D5AUzT2LDo^;a4|@5! zRCx}hcsyj+Vd35=N6TB`=qjZ8&YDJ{}S%`Pd^!Ws;kTfdkd@H9GRV%a#2ELa!4%o9X zwPb;l8fxVi6AynMdgarRgo5z|ke_8XfvtLWzjch#j~D2EmtK^nl zJYd>xnXwShl<2ufFJs*HjGgzrr$1{FFB40Y|FFVllE4x~5`SnDxU5CffcA#SLSWj7 z0au8h(4rPKTSLhHkw-ZN4jWFx4$wI%w`bZc&Xf1EvXl1%3kL&~%sOL9{0DLm^F)&_ zKUn7cEBz>CO;yoq4V>XCvDbiSn9bcU7~0zfZtmSk#OzwnvA?RvF@;ixVM@LcbHwT~ zogBC#=2rR%ftOl}0?T}Pwpkrmvd{|DvuAT%vxJ-+C?%M-+exzjs2AdTD zK5NDaHuG&isnL2vaeM2Qk)>)chkA)&b$)@>hJIa6fo8xGLdyMtv582;+>^}WT`IG& zujKsNir;GxDF(E<)8O`;*_t@i5z*e7_}i?E{{bJob%0Wsj9CnkC!!6+cD^|tU zazmK#k=qqCE)?U)FJKfxeR1uZ5&zSGB1qeC^8cqPjxcJ&`JaYnTGEdR&P6ph0{9){ zBwq$wvW;d|GL9+Sg;flj{C^q^&Dw7qOo4;Acm*7j;jB?6?caFCO_B!*qW(=E$0{>A zWI~c`>S1p<`|G<5^|wguqs5I$Frl}K?Zd@^DlTE7NLi~}_c+3h^?y-YK7%o}y|YD0 z{!)v@zx2TSC`n#NLnLk;k!+FOPu43|%~QqPqrb$6g6DWMN#3x+W9Kj*aNo=-U{yBp z87f9t8~c3jBebBQ^`k@sGYu?rCp_P4}3<8UUJsT&X zum0O0Z0M}GzERM#9%u{#I+TeG49gH<!wpf9ebpd;cDvC)1PaD~x6K)V6{!L|Bpx-;TYXw^Q?8~Fmf@v7h*a3S7Eod3?X zDjm7Yiok3l3<7=-HWC-SGsXOa2u{)3BER*<%b9%!i|Q)5LFVu|j#^Cql!pF%A4Y9q z{8aXP*z10gHGFqAe3v)$#?n^yq9>Jjvy$7v#3U;}PzfEr;v+Sl{@Y%WJ}(o8>=6=g z1rvY*K*;y-lHrG?2yxgHXO*M&l98m{P|2KyHB{jP19eB8l8br*(hMYCaFh~+g2j`> zj?)29pV-~opFo_qAAs9WfG^f;Suy5*aqMoG?*MWau*hjh7BTExtGu%v zaLj(Jwn=}Idt=?>0rz7dP9D5rAd@d@8$jw9$ie6$=tUy2EGBFek}>|$w?O2`e<85> zn|yQ+#A$fzeh*I6Mf~10pb;>#2gBm=9S@R8xv*6L^;a3#9OX-}{6D4L~G? z%hF*|W~i}B73C}ZuMj33<^mNB=7u_*XPNrFYMBFGxjM}-1{_{cK&fJyaX8xmg;_X! zB5m6Uw2)+flnsdc4ItAGwz=lCD!WQ?OoI|Nma}8&aa8jf2E0j{F?%;4WVPltLDmx46ahd+DSvdHn34d-~W- zi#%c~(+s!%sSjwLGTKrWpV6w1+bzFw$3}A1cV{beCZ5aiT+FJ^s<9EQfYR1Rc@>4t zyd0lho!h+3Zd`SPN7~gS`*hG>aU->;txm*sk6Md)s52mBD-N|$!&*x zV92vKq4cKYPiq3~GDmgx_1~m-<4g{bOOy`dln~MX0=s9Lz#*b<$KaU7w@Y`eVmpXJ zyW14}$R$T)W(4sl9RfMeB~~Z;(Qh)sI1LwTkMX(D3+&Zvj|=<-t@$z)oOy76m4eNY zz668B?-4_e`*wU!uD#Ox?i@q#SP1j&c_g+RLWKyV_OsO@ORwbDSM!D+7!vU=65*9=r`I+f1 zea1}9g4r0;A{0ojPrVu1B=CkOH!JG+LqW6cp4sI${Gkxt`C&v9=+m_axS?gKL<>Y3 z4#i@BWG8(AgW?iEoD(ttRiVi#;95P~3Z|ef_VK+9<~!;ltRccKGF;~K|XQ_s+AGd#E!!M4(4EBna>eS4iZ{}x?Ms$cTl|hNMEMf zDyK1r;e>5R;BIdS$>>e7QRlI)VnSgdkR%~+bSS|qaN;CMWJcj0WT94Yd>kCS`-OUs zvLJGxC8tJ@j5y+__+f>v&RM>UL+Fz)t-aPW?*^;_8N&;DK^kljX54RN;5OI{MiM z(b=svq`axiwS{Y|am!J7D-ALRI51_@F8+LWaGHTim>n?JWHO5IuI z2DYHw@hJ5D(#AM0Xa3e+(ifgB4Ub`vT3c|EX@2^+IB7y$ ze09Ro1`RRRet^|>>(FfLOZgPY&>e~;lS9Y@rlZA_wBo*W3ulLOJjY(cEJ0wf05Mtw z832l$kq8KggP;Ils|5h8;zz7W`0@2a9XXu;w9jDgJ|VyWKH0>U~gM#2}jEH(X zy928PH^!OdaE1;NV3C+;0QUJfH-I}G!3>ne%4kPoQ;jEWXH7X+!bh(CdBv%Z%MVQH zSjQFfTZFs(4n~8<-|le<+mcF928A{m6+ea}5^OnRH*4Pq+(8y4tcBr@U(XFN~V9WUThn| z;6ZBZQ5YW$Fdqd7w*@V{t(2?I9Ca(p$;&q9o4e*F3070~!Yb|qmdcccg1NW$gC9H~^6ZDIOhLxc`rkAWaw=F0 zUZcBSR!vTZjWqHNH1Ls9YRR5WP6V+4QYL2#CUy!YcnYQ?8U4e8ezV~I1A?$IvEAZv zUbK`Ignr39F|D<=Ayvg~db+I2_0aev%2-Uu;(VJ|P7s}_iu$Nh)G8c*YtKen5fw_} z3WR9diVnnqu&{>vzz5Bv`g5j*U5dbwRRF%Eij6}L61KC{hKJxqSmPNfDM~PjZ(ZJh z+f7OZB+G}>A3!eQivZV47>D3nLm#(|Lm)as@y7ZeoUT@Ra4Kq%t(I1J?*P=?&q{WG zeb8B29pNZU)7^Ng+!0Jq6BI&Myt17%1+Q4Vw5btdmBpap{E+mUqi#Qhl%_GTfVLk3 z!({BsNlX<;4570A__v9QmSBXIKo&Nlh?dYtK}CJ=LZ3pn{Q}gx^I=cAjZG~dks}a@ zSSW?=Q|A-Zk)WqAgS}8}Y@S|xr$`M4eFSCbQ7|*-E1EDl70McPeks(9>=p`H#Pl}z zdC{228Kjn@SW=eJf6(3S8PN5ZIpJnM=*rY)G>xAhmc9Skkk0v9pb>_i<++q1cAgyy zaH0`6F$=e)@Mv35sUh)LWWipbXhpV2AdiL53cIc1{F$+7RZa@KG2ZkF0a6hlj7Awh zu52jUSf}Cg1=?1rF-o24ZdELYQY!|LqHlnpmmhi0TBVE{=%YSC=|A$ewMri~Ce(f` zRNf`o{wAyH{l%vB9FnfNQ&E-pM2)ApNndu6gz+d>?nz165$|ar%UV+yuNB7jQSn)P z9sb@CTz0KgE`yt+R#T$>RK+F)g2leLuBO>bnH_yDa>uO!YlxKIqS>3_9(BL}rHs4P zwq{|GbTdJ}ngHohZ#kuwPaW~e@O2njQzJ2@scPR4Yqv1r0lmL=Jb_*wGE_(Tmi~mF{rr1iJmB*KR?&g~e-uJ68p`ltE)f zM@A3&HS3{(4gd+Jy#ZRO`c}<_?WOgCXB`398dNO@QwQm?ffWp$vsLLt zif&ow9L=Saw}vRYH5};5m}d9em@Q&H=GejE#~?1+CYYQ~yDRG?Owp)YQFYQ&#Ddx% z15ebv2h?e`>%jqsy|4U->qsQ&SGZqH8b!VM)`IoGXBxoAiBIK>H>{=))b)7V889So zG;~djyH@i|ZwiYfYbBbd)z6;vab*@OfpcMNabg|j;oDPEa0p*2$=Y?aj7?J&-eF6Z zExG>1gp83y4VRdnrI|aJ7nyD7m!w&2B-uVuIuS3l)3!w>kP9lpFrW|WKJnMG!&~Gr zC^!I^G^(5}A4z&pC7|o%WT!vOwQ_;ibCP5X5r0SEiavi9^Anbc_lHl|PTlzy1CCEb z7INOGCFUk_v?inG_)$NG&)hUwUaOm`8AKf(hJ}6ZpD>pSoEIV&l5d)*_DN^>D>X!g zq{4#~M;aCHPzSQ6s@wPofiP^KaOUq6O)aJMv!UE2f=Z0`&7QtAja)|5I zZRN2O$_5l@&PMz8GSPI$k7Pr=O24e2^FtY0b$h;8Ngi|ueB+3(=ed#WsH^+20!|Dl zbGfvZWwo;oj~~02q}PhrLib+&=~}qn_-eWz&i{&1hS!Vyui{{&e;Ym?27|75L8ah1qy3Q)38`N zWi@D{Zt$O`=VBU$$*@pdpIqUQe(+8jgB@r_zPV zGKPwop3Y%ZYr4P@LKRwIWGK1@rf*#EY(tTCZb-uaw#_-FO5l%7#R>eB6XVXqHF?HX zTL)1{&y%vf&G2J^KHkuW4)E?mMPq1KK{P|ZpPINfP@Ih0lH8d|o|w3JFHeM04$#Rz zb>tLrHR%)N1~75A#P5V)UARK+w=>El=wdZ)`7G)eCk4@$#|H>E{z18p+wV$pSg7UT zWD=o!kw1>%HWECfnWH072JMs_*D0n|IfDuiJ&e*PCXF`8QGPE8=Tg~=H{)wbK-;u# z5WW}Y^V@|$oBXuIZQJ#Rjt^!#h7Vmqd1~Iq6dwzol$kh4iZ)wVcRCI;T%qe7Z(asF zxcJ@+Q`5$14Z$vNi4>}>iC!E4hO$=Nzg0F5d8{=9_p`5YEeHW)EP{Z7z>Nns(g-w# zw*z*GBuHvL%mJY*(Gb!IIo4Yfix@lXcLFaa0LXb$8WbOXc-ARaoG91pC~O0>M&ZrV z&m2?QPSoJo(p#h0e&(gj7~Wr)cTh1=o|zyVMREp_?K?S|>TeZg#e1@IH#^dtD(y^ zv7jN=g+@s3-WM5z{C2-oc3vK9d6}nJ?+PLGBYqA~VWA1-+y5MzGg>9M;EUW&0BXOO z*C$-ZNyw9XAdr^x%S~_7#Q0K1!pMDyH)vaxB3S(BwFb__jl7UIm^dGyQ80}DUa(yL zLNLeS*NXM4f0G#Y?%h79+)sJ9P{V|~ zb&@B~+=6)}8YLvJ7lEQ_U&Y8eDU(A%JrQh6N48Zf!NT=bIS_-|y4c?oo7vs0aW{}O zvyj6-Wq}1f{yj68f#Q1asn4Df_abV>WE{lVC`6YHO=2aq+l>Q;9Kh;b=;O;`q<}sY z;@ni6E7cr^PgY$*m)Nx=$o8`gcdD9Q4HssA=S$$tpgrel3&;q_Lg>1AegExV`<#E= z-tvjsWI5~caO>MVhYuI(;W`7RyGiCsD0gQxkrd~5OB@aEm1|gC_yA#@UENfO1cZG@ zsH4-_@LHS=lWxVBX*r2c2L_^iX!7z`9q0sdxz~hPLD5IWU8Gm3dJFe0Y7EJnj zOO{;&8l*nI3s6G9H7p}(Yh*kXJOJ`@T1;ePj;ED z&Sc94aUv~Sir`y7hB;|gh?CRdXow|IG0swtzJj^hidZrCKj9~IU$z(YZdl#h)e?n> zHwg9zXm=b*Ufi8Co1)QPK38|%M;t_+hOi2rvX)^59P{-1J6rZy1o z>*uqO7Hwd2i#9l<3g|zw92NRX*o`kqPCC(l%X0o-F_N>Tk%QTPZ4dt=(Mi(z9h;$n zIW=JypTJy`k+Jb;XC)7p$W#=g=(c7D8NMRmCf+a-Z#tIT)?XCmVrDD<%LDe5P8qck zCLeO%pFEmyP;>zLHg(c>mu7Nabx8HIb{8F#^A3&c0x{Kb^vbn`SUWw*)!MZs`1E!u z_>}p(i$Y6MAR8n|-lV87rEv9^NypA0qw*q)Z(8B7_^_BMC*8JM-u#KUBw zN$0!>8#{Z#yaSg3IKW9e_IQotwVu|@Lp4WAL}DRGPaTJLs8Su5$$Sq56?I6C9X7PdYvlv->%Xu< zwC?Y7MM7X-6h*Q5Hz4iBa$UH%n1oGus@XJUvMKwYFRk0u%8u^wshcbBw z&F_G$v`L|Wr?T7UH(dHoEt)r8{|VW7Q>d7lHvAZIcn@6?_#+*ixGE3$-|yE7{3iD> zU>0Q;c-H!SYP1K9Nr4Ob5uOo2{uSh47R_vsMbZ^3UI>dSgDcu1S7K7j>cGi56CV98 zj+|=Kvotl}Vz@T^>9WMOJ|-;4j7M{A7V-?jeu6!v8sUOK5AIB|cbH-~r5lPAVNVR~ zglL(jZEeDJO6?duY;hD1X$z4SmD}S&DC!as8WADN*GK;+Zc14Lxn50jaYMk zj?Jtpo~_Cc1g@X@4Xh!IEh9=Gv-U|N6?9Wy36v%{Vzj-WqHCr&l_*b;3CFjJql%^& zN{4Ke{w29ILXV8-zJIBzZnuwR{gHi;j8u~2T&O)y!FbgdyAF9Hg=p7ye@w`u&Si@A zCsXiRlwpS(Pv^2ree;5h^|ci=nTuJ~E0^R3Y_i3b0ts{D>)~(xnNc2VX)stEBMt~X z(H?jZGT?j2h*`1y6;u^Lze|3TVy7W6nf}!sR(cZi%%y1CSoh})QAH&h`7=rnXJ)kE zwkSn!#>obUX%scj#(O^RpwOc~=!ea(a^MOqm-B2?oxrXyx>%(E;|wTpF077591p&U z%>IEhcr&c}FX|4i4+&@;&)NdPK9bECOag^Y7RYD;M!HN39FQ{s8QVZGN5}_hFg6~x z$@eVkZ|#Y2Lc>!95fh$>pRuvu2Jvu=50l>+U>^DN-+rSCi0fK!f4@D@M{$GyVbyLx z17Q?nmn6;0A*tPr_s8>3>VRa2a$tR$wT8V)9YG*{pnbmD#3e$n!45uQ4h;g7QM#_4 z|Fw^`En;_4et{+qQ1ycgONZeH>-l)tyFzv9=ulBWg8Md_#GIlXqzpw(Cc6b zW_VxYB!c%FiO&$wQwa`*I&L+cvxrn}065;pgQkIA;uGO*nFI^qgs;Z-L6qvd7R`{} zGHMYGm;hhBiGl|Zf`-AY2tJGiz3#d#VT8zl^8}H-0&~b9oU(h8=$KyV?2_q!D7toHQJZ$Ea;SBU3-RZWYA*GI13nts-VON(`?68Ajqpu+xQ6@bk8`!zp1t!7U% zOIWY?FrE=m%W={*EtThVd+4Y=!Pjc5;G!89+PCox;0lztTZT31DM`CV+?f;Q1$$ya zotSq^ZdiC@5sZV}F(BYeW;`so#)ZSW95lR$Cu)fas~*+vP-Q4ECQM?vP>T}t>$6~0 zZ#*lTXgxLL_KJqhrai3jDj{5K#rZ|~4=Ej&*ehaY=)cDZdkaw3n+AzbD7LH;Z}Ja zFG@%I7||0Sf-JnkKcjC_a6dWsuy5Z5CO$`>BRnU#S&8;Ta6dOQ=$bWU5p$~El50xa ziImohlWO{LswF#Yy)u8@j?McA2o(9JZ!?2RdEaNMR$4=;INxMrw$yTjvAp|mZ36e0 zPf9;iZ-8COC*KF9p8=rgn=7`>AyBV-((8g$dyE#APIENR?+{ewp17D(R};e{Tu}Or zbQ;q90@J*a*vIkSigO;WJC3>Yc^_Qw-2wER zam)ZAZSc9mh-{;QiqJFi`Mzy#!+!Fx$Jbupw>IW*uXZ+pjKyrRk!c)~aP#E(x}1Bo zv5Be-h$iHeh~9d*gN*y9rAH=&apFZy*2bXHe|ljVM&Q|t!s{k2zhAecN4EJ56ShS% z+ItHnt*eaE>FrOl%urdluik$DT`*|aZ&+_7ytIrF^VS?iqd{OH@V|t96OTwDR&kCHec> zl=4{TZeyLuL%tQ^OnuKqpE%zISe-dVik&!(n;ezK)p|zWx zLe0`9caH6e&f1AVRBBS&8o5wq&~BMr0?eE>5~O{i=Di>4EbH}dnlcnsty9i)8&tN* zBWKty%~Yxmlve8gCE{e4Vg1?DPN@qS&)ybkmkP$pj}zD$M!FBS9#Qn!@1$11$J*{5 z97yCZDw{_5PsK*?ncnbO-?CX|BSQ{nHcwvUH&14-qp`l0YrVJ9R^{PxhFgVu0L+Wu zHn}-idQoqjhEd$F!UM(bAUk?GkJiR_`3d$--cwF2*T*`lX z1cBkCU_be40W;OzW2C-Td4s6_e~+?Pbw4FUFW*q{I?St8(31q7a+B~yTucy%BhKcF zM_I{Yc^PHZ+Cyw;L`5%HBwfjQ0bOB~iHj_XHS9(?-e;P%EhI+f0dR=6L6kpC!w^lg;ZX7u)x;2ANnDS{N_pjSVwuHQ3UHHg6$y=U8kptwPa2Z~ z5KW6@;>ZpYFX~55H&Zr)P9~G3Eku*79jS=JfE{#XuZ17Uyo=(iWT{B`0GZU1RhT!P zlnG1R!%`hXZDcLUusgkMxiCi+a$ANVwYkaC`U5Nz$t98*Qt5JNkCZOrtU5>5vISeh z8FG>55S_$DZm~yVuYb$CctTN6Fq5p2AV{9(NN31IN-}lKpHFebswqTDx;OkrBY+rT z8xmo^Tvo-y@?UHggdD*Yqlbv?6e^wRZtt(?P2{0oX_l|g896}5XbUGFJ0!G?44;E#*zt>>`&hRz0Fwg%?902o;vvm1nm)D)&UmZDZ-UfK}h#1(~9!T}6Xd`ZQFUuwS?xo@598161pn-i$Zxt&FkE zwv@w)qO|Cd&?zrF85ti#{g1iJlZA7_QUws;y_f~-j?eM#b>u@SWOtk&%L5=eaK zqC8R=MyK|wAA$dBs-#f9;%$3d^lV^nv8lf^k32(Ez@Uk zE=WJ-vneG5+!C@arh08i!#@Z|`)&zFgI<*klTVk{{ry>+M?O{c{r>=3ThtZynGrxh ze2D)4adao=|1XaIpQF^I>6NLn+310T1ksSuFg>8%zF zrj=h*3kCx~(m2cm0$sPbuUG&25J$vfW`sywkc5||$EAr>Ns4&)L|A$c7qUt&SjjYQ zI~{E`aF^12%)L%NKfh;Rzh*i49%ql}q$D5fw>n8UtrZ; zNvJ<>05jXNbn&_)+4UA0s-NA3OrIx#lNt{=$t{3KU=kXRs|b3HKF(=6+G)g8^i(=` z2aRLj9U2l6+e*uQJsu8wm9~YghMVn-%P}h8uD-j`-ekMNKiR(PYZ@hy{#>KoW^6AK zy^6zaEI7XUuP#&L+1o}`bv0HUX8ffEo{b`fqDBI}+=yRe)u!{|nG=Sd^Rm^>#S%`7 z%{pL9E>RIl2~CJQgb%dJW#P?bU5&xt-SU`P{oABr>+p1h^_)pQXRXrB>#Zcncj;)$ z#c{UoOWtBx^_zn2-0pkfgp^{#;X-qQL8Hs|bBTM|ezm*KeBrE#yP1A_tHG!1!o_9f z_gN;T1RPO-++RwGD2f2N97+ipIq)n_!CAmr)p?D8-oi;|EX7rg2olTI4eGU{09WES}YMz@J z`8PDpSsTyOs=v)JSvJ#r+R1RO0+M|JX{go(ZU~9(>y%SGW4cjnn&}Xy#b%alh3n}>iR!N>yx#pQaaSigkrs+o${7Vy>@%mDuB%6Q8O`=O>m4o= zZ&mRg@mq8YBopk9dN|>BSF2cU<>v1pwhA@cJ+_`E_rrAi!HLD<(`T#AfKMne0z=}l zWB4yWd@LiU%wU{&aSHx8R${r|_@_}L$1^Su#j(W#pz}6*C&F_+@OJbV@TQp_l5s}e4)G9?!ET=2K~Zthjqt! z;l25F^K&P*x1-lf0Fa551d<>C)3%Qb=*x#gxgTX_3gokNl9?nyXd*n1P@i=sm} zr5@pAFmWUqIUU+v4y@^4#);t0{=�?>dDqp|s?F@2$4BfZ`lQc=N9Chmt>~YUGgY%N%PgAwh&IE+R8YR-zpST z{3$>v+10|)Z3?em3!ZDU5p#rQ^op%OswAkF1V`wMTeHz!Lpp3LL z@{R3?4jh{}XN$OUny3fWywjExI^|5p-IAhU#kgb`*|j*3x63v-Q0=6Q*Pf`vv(lIG zAsrnUj`iWG#tkJjno{jWl#d$qG_qQr*TXz7_|g87gbo~u9+m#GC^;mBpW`62)mn>c)YMoX!|I%|s1(z?Lhe!i zfjA7n+-nuC$+#D z*ni^KNeamL=M##p-w1|WKHUgrf4T1gu-u2rwn=FOFSBJH6FK4GfQ6O%yca>v5FJb7 z}X|7rPv_t(Bv$CA+{CWur@qjL_|~?yr%%fm09)>9K8!NU-pA& zwspGyOoqSwbgtr{|I=-hyv;CQ9yh1u{XDus-HNwS&92D1JG8&iKlI^&TX}!M2Ezmg zOTD0O3L^%=2*b~sh)JK$s}X?M-R-nV@$A-isLY~ja@l@XE2wcKEkw%It!cruKkU7u>My2$K@?qTQr2^AL zjX|^d7I<+KguVNq4qyky@{rgQS&lwh?mSmf+SB9AcB>%Quwhk62w^@7arK9&rez0^ zsYN5$5bk8NK)Fvl16cs%@@6mS3W8MZDdbBvVG3360-Z)N$V^Mni4=w5eJh^F0E1m$ zAze-<-IL4kk)?K+Ce_pG9_X=cb_32PdZD;$eLHCd4I9IHbY^)zHs|9yaY_1m>D3$P zsjd0wQK0L71iov9m<~TR?|icdp!c{C2|Bgm6)M}c9)cT|(hxvynxVb}X{$GMr&9Y1 z;>DRzgjbGubiZ$O<|ZR*4C3&hQ1<8H5zL(oVgbo!w}J6u=K1}+u{eYW5hkx5J~Gkn zAC-X43n^8qcxBkTuUyOmdM|=FS4K+w{XdA%;_z*+VmLK~=*xX%!pur1X%=znwl-aa zHALUObvcZ?LU8~J#mXP75@ZsGgz~u@geDTE5}$&6e$B#y+3<$^`Q(A=>F!E5l6Cz4 zs1J(VA`_V+u=s`tx!ba|OM6*@v4h$N16J|uIIzda0cu=&tHS4x``gVpVyZ71`oe~WC{J)~cKARc?JCAaeCVw-yP_$RX3M|9=sd@ZouRbVB1`4PkA zl2zABd1YHo(4qJ+P0u)bKEwRN@o({FIZ#)oBg69j?-Sv`!h-Wc%C`*`iNaq-rbz1jDN(@ZL-F@>+_#A4+dUBP*2 zZG;4~S9Xo^nBb#Z=Z5MfUxyPEPg|m)Raa&56m@k7($LPMSCwetEp~m zNoLD!Ofmu}G_e+`qT(posMJLnsSa(acEP<5Dq}xuK>xIjq5Gb89#8ZBP;XtK9%Z9(4-ljfGkp8Qgz#uPZ358R8JvBJqj1BL%tQj^GG-@=V3#f zqFNO47L<{bwE-)ul71C=G|Y-yJlNYEo-`su(h91leGm7ed+fO@R>tt(;$OBoBe#}+ zgWfH4=DH{b(aa)ms9fV3)ynn&3+SX>k6Z8Ao=3Bf2s8WXW)TyUgVL$R@3zuk-oLq8 zH?9V2U?X?P2%jt)Z@JPg;>+|HV0ngO#=fo7N~bK9?K@5ku8oatGdsK;33WfBd?X5< z5e}MWW9x%`7mZKEIYT};`OeVAxrgVbg&R5Un6M{GGoUM2-v2%Lt9>NR%I5C zEJprXn37vLJ?!ItdXsDAJU8{p24L`OXK(!9F8F^~HpR@4eSu#fARnm;Lq9M8|5bZE z)LpGFkoe`3Q&T57`ui(`ngQvP8IK8XZkwM=s+YEZScjRcRI7$4K4y@!E%4jt<2tA3 z>+AaQgWy{Dkl>-?u7&1X(m&XOi>PDZvt5=81%85xTz9 zBLo_GsJdHsw%h|njoY>KwYLkv1%vFmHOy!woKIm+iR#{^^Il!u%DpF;ItjH^?qbf% z!9{MSLwU-(tg!VY3swEZ|1A25+(Rs`6nk8W*& z>~pP}!oJpSX1D&ZU)`&G?vc%C*!pIxn)du&Z{$Azv|qUUr&L{UfCTiVRL#JA?>~h` z>452K@s^!H50{2i15uY$OfC)&4(v_Po1W7n%W*#6Nom~-?pu$<4hbyy3zEYaTdf@^ zb|@bPH?8FLcYjb&uHkGB>R+ip{!35AlE^3j1d|8Q)B{@Z@(OU2?}@~R>{SN+K|dA< zkQlJa4d24%y6Fq{NCDFY^=Vg@XkeS_4`sj5u;k*}s8MZjo1DUyD3@|u{e*H!Uc!Cn z$U-BsuPcOwg?4N6G!Fa}_j*77Ty(i-iv6pGO8+zRN+v{TKyiiY4#>1^jM-g1tK91G z@!mn_UaKK3P(AA+TBSXJX|)$9rv1ka5AT_uSnq7%Tl1Ey{4W5CHsgha`03<+>+}!O z8K%>^IFDK9;+GE8*}jJ2YhVlW2`eH6Y#OJ|H$vXc0Rm1>yhFtD_Ahi(ENiqV1tW$( zF5aaDAQVT|Ac_8&V>;2s2QL}@`a>waqEQl~JKZFLd4G0^`AxSl5EF-$8{!KF_Yr^S zcDiNP87V|Xf>Q$mNH92n9wvS+>t4~rsb40php-0F1sn^Y0)+wZF+uRDJYZgoI<#nY zj!$^%wAi!Jr4pa4L#o$2#Fa3^ON0;omLCT{&&l6oVnB>=aSdvD>+Zv*8OH^foPTqiql1TXALg=Zt&7h?!o^YAn^${k=dPF#3C>8F$sjWstq^C{kYol z&p@0B;VrX`yX|W4iE-t5=$3{Y^hVWXsV7hfi$LANc>Zb_nlFOA z{LHV-f;Fjmu6(+gH@iwuLi5e@iIv1&O@&p(WF;H{-iF$+C(5nt8tP19iD95M718L; zRMG!Q1cSB1e!>8S_p>m9cK-xF^0p$#sRI1=kD#}CQ+u%AtqUDfou2FBE;F`{ZY&@2 zs4m<0tHkmjdy5DCfHM^t=8R;i-n_6@*!|$a$J?6OpF8^EVaEB$8Ck(*F8AMUAjyJR zWM=O*7J?XnTb=J?)DA$N{;fyLn#_2Fk>Obr?5*)0Y6um|^!2mZQ2yk?ilx+9^8lK4g20LYz+W$%EdI^=@7n#k-tc3KCUlC(>QDfL8}ie$pR!O z!x?q&7(p?Yt73?-@nw_-L~N$2v?7*5RIqi;V%rrIX+l#L+@B^H%6d^#)d4S`8oEaZ zKH>H2JitoRt4mMu#Gxn}%Zj)w$`Ui{XOBs}(({Izi7KJ3c7d%=n<@Kz*I>Z1dkHa` zCzsCfa5w`K8?}hTS>=UoKu3vUNEg9DzL^_(V6I^#(#tDUE9w20O@XR@)CR}>HsLn> z{q|rj%F%wkD+<}j!13Q}axrp(S?uE%@N2s?UO~I$83NbH-#X1Pi!T!W^S_FdOCi3F zw$~exz0Sego*VX|0~KW=RZ9ViLhpPI6K|R5I0h|Mz?&z$qm=tE{I;gbX9mmyTQ!Ar z8S{;qhaa6C6E&;NCn$~#&El)ib{~WR%ScyXF=JH;!B`tio~WWkJS?ZTab4!p7O$(GSWoyyEbQr8xEbappd@Jt1<3hco1B1FU?pI)ty zru|BRdzPwG0VH+D1pEMeQODOUyVo)N-gviP|T{A*kB`CUXxgQF=dwuP@nK5RW z=n6Us9)pq-mz!L}{t_TxsmB>O#aP{QCuGXVK9XP@iu&nskh^aq(0 zw^mY`MNDSv6=PPFnMTYutMTaLYo4%FMpX}(2$CqPGy@)(hA1reGmTQ0q`a#*P3Ci% z)3ZEy8`s(~iJ0>u98zp7jWU_EBQTGr+wuRfGXca2+88X1NQw8E^Wj1Nat1?Tl4V&u zmYW0}H7S96XQd~5)PUlXteu#Trjx&|A~*Uioq%sMwt!{X;sy1EjW#feaXI~d#gR_P zYtAk62m;*ARoXL4qWfb!mMxWJj8GG(`m~IthwUXUu#Cu@J(Cy(u6>5BHrny$Kc}$1 zBX!w!z4-##9Faa>pd$Es|2}bWt1eghIeCf**a`N4&7UHHcF^I$rj>{XQFi7Yi(FI6 zVTXE{KI04g&RhBV5znRqoQY$#eQY436myBaPXlP%z;OO94eSeSg>+{0W&{TO3c;!` z3N&o4JT;i4V++PTS^{Mozbk0Mk5*}AbK((q++GV=Gy9Hy3Pu{^!t-yDszM1iNV^m;otpx(D zZ9SQbO&^pu7@k|<|0|U1ULUJ&;2EIqT3OpUgToe|v);eBSb`bC;I(7OA168@yfVN$0KJ@I<=J;d9q-^)?Uix+CF0T! zr9&2s=FuC$9ujRmu5Ic21XbN8V&i`^mc%Tkz&Wh|b2z>iz4X+|sPGfWM~4V3zvpgv zb4VI?U9xqrLLLd6OoV;)v_}QUXNpP`XnD;xVa$BVp zQFBpXV1RHaY93QPb$M@XZGajn@3-dX{0rOf>%2X2Hm5hSNi?J3{d`W|pBKDJ;eY81 zLHWB+)4V$}vqhVBy^zi)qke4P@chae&+^f{hOsKa4MP5M@+^vd4tbP|^lH6MJ1vl& z%b{Y@&kHOp0UP;j@8oD)&*+9>S?L}CHh7Bpr+%rfWh)*fpY`Csw*lZs!}E{1?H>jv z&rhSRx4}vL-MUphxyP$$VWL*A?#z0_rcvKK1~QfXE1PU)I*PA1Y`Eu&xTM7WC1<#3 zz*u;thrXsZ_x+hWr{rsf1J?EjCFaO39MeXI)BqPhB%Jr!<2(Klqg#}hXj;KPk2auHftQHAPxg= z=$ zNMw+ODrI6k&3Tyl-VFuZ(gk$rzL0H?c)bAb_V{0WimtF8#m&jYxf5`auRtk&3hY0~ZjB^pD9~)k^jYCSfse$f%qj2d2iu6Y*oBj)N3V z!icAO5bq`Q9SWh}9cuWPlnGnSI8R(kEB5cpirWzAZYQaQl*-gw10TxQC1?OXl%C&? zD3o5njwzI$|Bfn@USKX@O0tDcnh;Rabume~SGlO?l_N$8{DBUbK#UUl0})Vx7$wN~t1k%R zM+4i3Elz+Wlog^klptOJ6;v^RD9wKd8+?VR6|_$raU*C49h^Y;4^DtQVOsExGSrp8 zAB+G_s3Rf`za17RzR(?5s4Kx6GH`vtTByEHF(3)9gk59eIeD6}ixTvTBo7zQU?|TTspmeP64CWjv?KwF6!M8^oOL27K6M(PnD!hN7sA@Zpvo6@vpHa1)mzbh1VN zx9{YA&;G04?)DPk2wtK0BBr4|;~2fwbej59?@#E5*A)iOGflVKd7Gj{{#q?@fp4=% z3y}Cv$fT;d{Yz0vLAkn3{OnAKV#%fA97Xn?JXX^TE~`52p&n<*VHIv;8mC1QBI4DV^gj0K zqR_aNh2)gg++Y}{Z1H@a2uEQkMU)_goM$yR{{~)wCeuM08bu($Zav^KS~HEQVfM^s zMAL$$o-wdNVIih|7Sc429BMoumJpU@YB~VHT(ESeKYSSBBo0{COG;Scq~LTyN?89HGf?=o z3tI3$=prSAdNN!9>pva92=qj>C9Cst`Hl1$d-Cdq)fki&vVSWbHeJHc1CUpg`A6IB zmvIZ%S7Uyj#z#T%NZ4-@^3D?aJO)+*Cj@I6@DS!Hu#nv2zS;%fas><9<=)6v>KhXM z|4s7bAoCU;R&DK2Y@NFDrR9r?nl+R(RR^*|c;(UfdH~1p87!r(Eg4g25knClPn7Rp zn|;>TV^bYX&{DFA5r`7enZ&_4kQ!<~2GxX9R8x_)qiKuhC1s5-*(CPSWi!Lq5$m*5 z50B;tV$&;va&|PNj>D3NMTioWT$1Q|oaMr4PsdEOB+2JJnTv;(EQqVfu~i4a%kQYl zmyQurP5>T8bBduSh{#KOQc^1k=hWjClvUUW8D_Uu zMfBww;eHlTn9dXlWPFZY^?A&xYML_@A*DulPT8hJH=%-M>-%f6UT@dP{(=TN7Q=jva=D z$U5EIfgL$OCtY$ znc^&pCo6aSKD9~#hGADHZ?S*U&0CIEjeiITAise4@7b3~UUfcu!O?oiI845K!O40^ zG^+CW6BK&D3W*CBa{10$AYYxvqnA!^>IVjtv)ub#(rxHl$~b8JIJOej-OWDW?cp?A zP%gx}Hvb#tWqyYFbwB6*s(=U%WeYpsgFJNV{Ol0`@_&wM|FN1{mPQ~+SN_#krbw;X z{DJXb&#lqTACG|5X80_4_P7t|;#eF+RvkOL$JTZ+oqtW!s@)E=e~wW`?Z7;{^`BnG zp!^y^VZYl1hW1st@!~#vaueZU6IqJlVu|A7_7dI{sm<3Z&Zh?bnv#I1c+>A04qb1o zIp0#}EO4~iu6n$9eg^kmM8rG?%ejDf>8#tWroTDW^Ya0C&m*>$z((1U7L+Dl<25ea)>9czK(0I&$uA4-ulVSe7x@;< zU*T>DRk7dwrvv=c+1wZDd(b60ljyIR=ngRZ{y(V2H-z0uTPzR|i+>jy%>PCIcenfB z^sdyo?H@IOW^tf4i`q(vF3& zsKM0$W*+ub-3Je7spk?Y_gFK8zmGk;XdcP0&eCCc_#Bvr-$m@T6lV`{mvMi>viHX? z%|1&gxy1-}>iWVOUAoA=wtu%5h3xaVxzrjEJFJX>31grgH=ht=oN)7)FN2Y_`A-II zmaJu-ztc!YD$!#YVIS~p^!?Zg=9>X(bS@78PRS`%`1mHE?!I_hu3dX->bszyhXD?T zo7XINIvT1@9c@PlJWfUJ4ZJ7*NfJl{rUHktxQJoICH-`;OdC?dCM-Jq@H4CAJ@T=^ z?h?E_6x{DiS<9Nc@x?+>AGv~5r2%@xV^-YX=K*VL5HDyVQfDG8A*g#)G>(h*_B+mi zx?H4#dLbP-MT35-qELZkqhDzci3h|fMm!GvxVf38cq)H(HX~fr5o&Rqo#h6=v+w>0 zn49@g=pew;n;-XiKD)%jD=cUzsYcDS~$PHg%Ec#XvRUQCRxZ7M9CeHA!8Z<(3Xeu1z4E$L>^ zPLk#xxF0v@4wsIB${))JxY3?7kYrLj>-XAD=B0^xcb%n3?M7KW{%jadl8-)3dEX{O zDD+b4cWr775EXbhHrP25bWkcJQt1@gJ*N7Ra*$ywtzVwl9&orEs|vR@189WoDfz@VuQF!o!sv>}ArC!aEH zzfCOPSn}T1rW-YYB2!hv7XWQ(%JE=DR$~m4!0H;0ZhM{vSo;U(;zlJAC8~Pk!1Wi~ zUPo=Jzo+|=I}~F@=hIA~MW&4aZe-oj(RT9H8XAi`n~yc&Xldi8$Zy~9v=VqR`Rd@t z`%o)ce0xJBG39f7Vy9^7A7`TH@>ak`02Z8jzQTE2h|2M1o(4lK4I~DzY+^xo-gQG&RddLcqsA7;YgeI8i&@G+9})4KuasR@e#RV2FNxX#A}hu9EL#$kh2a zjtn+6_JYa88nJSY7)25vJDNF=IoU0jJK5n3?NW1{hpbGDW0Mx}$AvG!gTf78OJvGr z?fwO!DZU3jl+$l+O=^ZeH``nh`E~Q5KF_RA{I}nc3(-j@L+Ndjm+-06?CtQZ$nwic5R|VA-?n@KVYM*Rczox6VUVN@i@)ax;lU5^v2i!*ed>?;6VDh%4Hp zz6^bzK6nk+1J#!pu@+Kwqr9;nB|I{ZE&vn*gucY*(+pT1h?%CPO*HPxOXYjuu%I`6 z5mQ0Olu;d5#<8hTXCFn-K!?OsQ0XhtZUpi7wD`NCu%{-#7HZrv7j^uoLb7{p-X_*M z+Q{iNmDSo%l-Tkq8F|el21e)GE^rI^5OboY#0K zA%U2tyCVUL<`cW&ExK#0W4Cww^dXM+<4>ZH8$p+SX@M}VTVd1G9BM+^Et#}Il~#RR zNvuuEVf>)ebFp)ke9k#ZtPxw~^9jEugczbReQA6d(V3c>gaET+SBMSv6IYoGqd(Ch z@(`qj$T`QatMe*tEX78_x#z4>!zoqTA^ z=&{&28q+&tQq$&BIy#c~T#^ki`trKcy-RZ8hlU4mv?6hBY+dOd_>fpABeCu|VcL^* z<(HyJksp%n;Tu@|cS)>Wg@UwIX+L$ekP5GnDq@%LWMcV|o5RqI$HAF%|8_tbPD*Xs zGr|EPj5SdiT~Z9tuPXU-z`<(ZZ9qF5T*sS&5UE9EdX4U zjO}9090qDDZ8_|Dwd`!7S@r{`DBJ=HT7FD1Z9mB~hTmEC9LHQ#l?7_QR5C{P81w+5 zySFHLnw8kQI67IlbF(zJ2ha|<6z7n{46Q4DIc6ZSQvkEfI>MSrPh@n9bDVnBa4!0v zT$`L_P82QWqt*MR#OCZnR(CTC4@VPPn){IhcSop|Rmq)VV>`nqw*%zG3Fr|KU|Ve) zzpjLw(S|CWgTc3y6O%=)(yC?&FGK+h@3IO*(c4PCU8nJQRrnYo4=b|hoIlmS-3DKU z^A$`YQn0;uT7u+zWNkLdh$^9^Ip6Bt+v|xw4+2~QDS%CO9E9m4h-TvU=Os@U zvdgW&9@L%P+q~1qSknc~J`UL4d%x}Pjt9$gs+nrGb|KRN+}xjb+>|oT23df$bTSO> z?*sog^T}5&RFaRIx}73&@r8E@AVGmt+rQT&grY|WQSb$)gu&VFU7l<H2qx zoR9!7bE4}*uJJ@54&a&~8c+;umIB^0Bgp@N?FNBiaUN)KTqYbtH@%`@LJhy>rT&Eexs2`9fSy7-;T z-V&%#D1>QGO}M7Sg|iltJ%=$~h!w&^^Sq5cTa?Pw2BNegU4(H-Bt{N!we2vWVm8;! zS{Lln)FN=_D9|TU!P7Gna^oUlkoAFNHbtl=R_-{$$!w$gDGksKfmaA#XcWGuMly<) zg47d_lynEzGc)PDyTD_VmqH^KYX@r?RYd=q4cqqa7n#1T^vg2Ie${U}nH1{YFeX^E z@ZyPE8SC?_5P*}ifXyB-#(Z)#yDyKvn4Qt<&f2I(HIO{S zh3e%N?+B1dqXl@jc+O^n`@zex(;NK2i+|lryj5=L@Y0ThjDox<_4^h-$mMDU{W(2Y&oD%E? zE)$!h*xH6!+OB&eL8-P_qqz~5(J__wJSyf!mHrh*FSU+}Q^ev_OHr@LB{hN{RhhjU z$8(qiyBfgf%X41VUUQYK$CEG)R|jpJ(?9|_sO0&1{MzgL?K09!Ijo=ydJCmW00L4@ z1>rf=`2d$+ARJJ{9F8g@0@Xl1ixQFEQvr?(K-i#U&Oc@BS8C$&8K&S6H12QothRR5 zAoOu7BmmYJ_SYy#+DE9WRUc-#Mc~FabaE3HGXUhcd6B^e7D?lCZtaQx!8%*1qk#lA zZ{h=g2d!+l3%smO;l>Q1`9e}TQGe%4l(aA|Dn)b-H~pyEr~QVO?_Mw>D;W@&VVsY+ zwU;Ub>DH}8!ik1|+UYw_)Eo4qAz1c`K_UsFHo}3G%E~{Kq&*a)LO}+9)n@a|B=78m zyaVVJS+g|vv%a+Laljv=Wl-d={k;935Ju#n2i zt>rHrPaL99}4mkP9-p*f-+SwWgrw~ zTE)GIqIEy2S?Z6)IlX9VG}?*{7R{wx+{7<9oL`$f+`fg@poHR67*+Cugbh^3Yc7Oc zkVWj7Z|8v=jxy+pE30#fFZ3iaQT-(E)UMUlD9UQ`PaIoo`{bX)p;MXACsV87VsNyM(lIk}<7fkCgH?+>X2Mcwkz0hgENk8>>+U-2IDahk&G$+0{& zC_J#%sjZo%9lr%999ehJ2xJa=PAlZEVon4WEsy#(gDt9IPBdzl@|3LLq|z&N;~t`3 zWe?5jeTC#?^+Q!t!q7wNN6+#VVc=l@z45;C?GxV|XCZY(8{lDQo~ZbL_@& zK7y@!zYF@Ka&2vK_^bZW?!bJLSbqs9PbLff#_qHz1XV#~IZZ)=LMO~fxFZB#U%eJ# zfrQLMxlsm#jXs&tTjTutVT2mNlVqJwa0~LW+bZ6_OXG z$T4Gwh0l!;_+qGyjT#og8OT8xbYVAOih9|b#+z%|bg@;pjx*`tI}i#$;m!LC$JgN+ zUmG0IktkzvHo7t)i83jzH<+f^%v}Bh`1ybw9w1;%-nyU{gvIvPh-JR^wP{1qT$4@~ z-Y=x#%mt^Da}F)@=>$)2!|V7RDxSPlX`$s88e2~~!N8|a|MPtTWM?xn(g|i3O)_tI zIv2De=lf6*z3ynElyemau1j7U_SDtcY zeM@HT=D4t(lV1jd!(&7%tRdXNqT0kof8gkzfxEWSE)E(Hj8(&a0NSq)quFWCFcl@6 z7Z&N;FGDBY#X&D~Jrp)fu}nLvk&WA$WRVE$zh+0#6 z5M)iaD1`{4I`8i5L4Y7_^etuGALF1Px$M7KTq<}p?hH|?JJ;=Y<;qFr?-GJ)BMwqE zbbsf2=#)=H|A$`**3GalAG=h`m6H225jbFydc3ygeG;gQexO|H(MI&JrRAUvbx8%A zAs-BXe1}~CJ~bndCK~TjiMcN`1gduN{y^Skbz@R0dbPU`sWn~V+zmn+3%?FTNL%d4 z#JiGu4D=|asRB|v#=i&5|D@8XfXsK+n3?}yeO(DSRNWh&Va86#mL<|4Yu4zq6j`!_ z>?V|DM##R6EH@)bWlNSR`(BnrW=eJ`CG?TK49QORef!U-ujtAD-se2?Ja_q>-+AwQ z&$-W>_x#=y?BE z3>Fs+M4!E^T@$Q|dbLDV;@5y6Fdc9TCm0`z00+XD5p4_hxr6Q+A zU2Nbm;VWsZ)ro6~cOv^I>6t}zKS5ay2!}FXf8ZHimmUmy&Lp_N*-4VCAdxBGIA^kQ zrcd3wAdEg>3NG|0)mqO6ZswjO3FWl^JbK}NgIKJ>EWg&&94Ro}-lv?%=YV=Kc1G21 zlGIC5kgG_b^{72~635iD{YLNGE2iAunS$v2*8D>Xd9iQYN3vA3qtgb-8?-lBn9CW1 zowaU55ye55kYWx9k$3~Y2F$jl=yJze$eKy{*QqZRZpe0b3T|D58_nV@BmCMx+7`?8 zI9CPLCO-Ca_!odj=}^-QOB$qS=z%93)yx^smAg;g8KK>OZ(J#*Yr5B*-wS|et@yRf?kQyiF+E0_8(435w%+^yOBqs z3C3l`DICe3*O(92+Pc&>q0w@4TF3n2y}2iT<)q9qwW7?smUlOMK~L|!p`#A>(ny3WMTUo#RC;`435}^O*5KR zvr6|>l}nXepY;u(clk`!A=G-OGreD4vG9f2@i3jG73u^MZOju2l9jIyx)k)!+))e} zt#AC8IhQ}q1}m0-_$oeX?Y2f3XGd#XqT7dHS5ys77*x z0Wz+6^_#>wN9u2-U3Do|+i*w=RgiVRn38Ie81ENlcHR0>sktu`>5$FjuIkM6D3^BT zu|q3Qaz~ORG$ZBhl2FwV8q}9&i+b8AkI&$Eg`%OeMrA8%+Y6~EztHZ;cy3zztgh&2 zRbHxj&zrzADCWJDZm6BQjy|}Mtk=z09oZ|;t#_YZf3QaDvwEJJu}Xn?BmLEZ!;)n^ z$2^Ydce<~?)~BM=mAnaiQsdyrZg{$!4PD8jlQ(QjbR{YIvSk){V_>3LQmdf;`+ z1Tz&7Iep|*u{rA?0K(l!mb)VB!XxmB|2v1MWWO${0)sYy- zL0;_{T7Si8Xb#iSpg7!JVaFyK^T3XbO*ok}zlFf7J8Zdga>9j~LYgcW@MEp%Q2K5j zCHX?RsIKgH%dp#+!l(W&4G4?LlEu3AbFeC)JBUA|#PxlWhbC3b=-Tqc><8Od36^Kh z+EXN#;{!R^F-2KZB&R7i6noRsP9EL4j7Q_Hc8ExEZSZV-$khGPC7ov>gJ*HMi=AfC zLfwx2?$$74-0f*@(O`s)ZT5(a0>imao&$Gq1G)Q06h)!~189px2r)muwRZ*GL73 zvqb@Gq&mP6%q0vQZKuP;_9D-zBs4A<+^loz=0lo4CYu~i&89blK%hoKVfa14a@oz= z!`fL4<$Udzj2^fVB)emF4P_!Br>4R~+$6+L?f&xEh=Y{7*m>yJ#=7@jEz4n!THP}8##$Wq7(J*I%f%FW#lTGdQjmiNVz z+XlXo`ee}}8S5#N6EUP=E``*rWe|{+h*A0aR$&pe`O*^=JmeaEm9_4yVU(MxqmpRq zIQQ(_ZwnH`qnOFq_{vB?AjO2SbwcMRYx>Msq3a$*;!rgCW==5H+;Riu>oBxgB*wl_-PZm7+S73SMtEna~Z&G5elre zc{a6I$5Ai0V3ewOQrmf|u^6`>w)!$ z&K*6iDwuzhyvPQ7Y9=D&@xaDg9?urR>RUcd58G%My^h1q*HIWi(oV}bbFCG~90fori zBsP3T+j#g1J&M)`hKUZR2j@PX{_dOqRdsXC)-*ymj9>5(D$>%qPzp#b9Om*PuPU3r zPZw!`KLR6}bPSIv?6SmUj$2i?pyABk?eL1bbU`nxHl8!8_t*$1jogb9zmj)Hr~b+z z&-EAu)^lvlqXu&cO(2dFsuSdk7i}tx-j$*xdU_v{DQGXTrb#2MB{P%GE`@l+Lu>h1 zdsMSw8B$ua%w}r$dh7t|vZ}LDT8xP2Jje)d@5F_B{813l6MRsLPB=s&L?j+!U7ESAki34qyD&L3$A<3~Z{TJ{SA>(+F^h!$uM0Grmm35+ z><9D7@|0NrqkOMytT5cOe*<&RS%2cAk014AS^|9%_J?EBzx+JpcwM2PEeR}e|HT7-dtpB2 zk^Um{Q~LD(;OtidBH{r5nQgFa4-iop=l~d^ZGNq0qzC_M6(ME;gbh|}_>JOghulT^ zn{9|FyJ4xouej8`t-`hchC$ib*&c}VGiKsP$OJ(R_CFi{V3YP`56n-LKTPaEpiOji zoY~%Z1FC(G>M?!~3?ca70Lu_Tb_ZhuBprbO>pl>TgaHVI`>*asym9|nyw?_EYrksW zJ2D?Yf@s)Z0~)~~^gl`bFvxU55ER08z`LGM044kh*t7M!I!`Ze8!?~%+hA^G3j{X- zoP^M6Fzl;~apzBcAbbo=k;cxd ij-Civ2_FY9Cto9LIRj%kl!>dl8xo=Jr{{axT=9RoVw{5j diff --git a/ENC_demo.runs/impl_1/PmodENC_placed.dcp b/ENC_demo.runs/impl_1/PmodENC_placed.dcp index f639e11098b0b02a1950538827b325d94a7506b1..97915264cfc4581bf37a552300d57b79be1985a1 100644 GIT binary patch delta 36895 zcmZs?1CVAx(>3_?)3$A6+Mc#GZ5z|Jji+r})3$9J)3)uIwz>1ZyZi0`MQlXYshgRn zD&pR{k&$sCYAX_DI1&Y<_yZgQ0{{TP02J+7HSIGeHRXW-fIl<<01bczm>4@Sdf3{i zsX_w4BN!CSx>Mww3xrTYXD({f_nW-q0i+$K8Abm6Df9-A>FMYktj5`htQf>KuNTS$709&6xfXHUKzrKRRLJN7FqtMT-u^zBDt`n#7`UdFcK1)!DWxgtPZX*z{dUjgi- z-S>db3t#+7w!z?h{zla;a8B(c9eFIj$XlVq8l;=?-|T~2N`w!&{@U|6LE2E7z(6%v zk_dkqa3wtH+fxaMRAPX1d`%qAiu0~4ywwQ#7Rm3vW0Vt0ec%qX6G%9UxEaS(yn0h& zu$u%{>75K-1oWkfE-apbnwzb91)q;q$X7b2RK}XThs-W(U?H0rNQFvV|6tLpQ}^k& znij02T^^h;wn7U`T_$p$s|lD#J@zmp&oN^Ha5=#`8_+U4P7SHsmfi&yHP6X9QG5%LMT< ziPj^FH9+Y59@W1L8>DZe;+M)T7-S={v4#1}v6@KMLQuFf!W3bXsi2u!u@l)B=KMh8 zk^3v~S}mWdz5$lc{TV&f(wog!&JR&HdM-ITk2$wM*E_tHV~(jM@+?%zyq`TVtB4v<*@q!N0X>FQmYHP^Fbl3f$D4 zAJO(Sv`Xc@1((%>0;Iz#pRnH8UwcEXU~mz`;IVE&Ou z>H$&bw&PO0fbPTiDBT;SRz()I_1Bx6%XhM?$pypj&vw6Dr#D(KQPbnhTh+@weLT9u zg$TA|h@ch1svX+MwCItDK{P{yes8zT^I3v$B}QI1ZY;!%NZ5N;0ti?=&fGcMVRtlg zRVH7NJ<@hN0-G@~3`qWs_<-tND&48jeqCVy7Gm(D4Nfd8V7Z^DdGY1hOdwrQ%e}B3 zBuK00 z)D_r*UhZ^j^>gx?AbDN1u|u631%{Jx zIkmu?t=T~gx*4$A5MG6qtLgJ{{0c-|sQnb2U5T)cKu{kBTJc#YR9ISDZ5lnS@r_!I zYQj_+gCYLX&+XnQ2yG&mB5Es1LVy~Q-W zdWCG8FZ82+AAxWT9%Qp1OT#V6E!AACWo56IweKyx$w>51hFkYe%sXuf!K0lHXq#17 zUqo?!GePel@`*+QfoZa2%G=NwN2vzyI&lF*o&^27cWk}c5xn0%CO8rejg%KYEFu^pZM zo%+*;Jgm{x|nIyBUh^7K4W1bw6W(~hNi4-9kes~vNq`tSYFqUH7L2L5Kc7pZ&5 z9^ONuJN`LGHOL){puoKS$^CM?ArPZN>2$aDDPkwJ1v_tCNIp}1a=C*EqtYxh==}Ti zJ0HI!C@Sa8$4g1ECg8rt%iQi9x01_kpmyM{(&FYWXC_Wn@1YS&*UcdOfnT3DC)KTDW<`FOa{YVMz40L4I>i*7 zLSu!vvuF&fNYXp0>Ui$2+3Fh?Yi$>8&0A2c46+$!)seXvUXyj z^3&zsim!Y9TQN4NT*k*RaVV;eGP%#^t-IR?vdD$to(EQR+su5uhRA_l35nN+?a^*A z$SKXU-NZxb2RAOwJlZidk1X0OScoprHza|FN-4ci5hp_{*@vhfzDN@qp>*urYY-yV z61c)HJj@$@e_$V=G<%lv|VyI z8Cfw^cAt`#$mnvEF528|Kt}GktKd`jF5!`SBjBpcYpD>t>OQ8rYbLrZH7;GWBNGx? zzw1TvMMG1jsxXISpyGa3*_@7n2)<#om}su)Y6w@EP|%D_j0F5+k*fS->HK4by8dG+ zj{YOnm};)kEB_-!Q~le@;vY#Z1mRm299?({Lfeq$nnTog^|F4_LArFi_ z_=|aHi3BF;p+JKUvB8`-6<^k z%yO_RqOqkqeU%`qK78PX6$!62n=m2=#bwrF(Rg=+q@&v2dN6X8l;wf2vhY4EMKeZ@ zkg}QyEP*sox@!boD$p#oFJ&Fuf-z!VF?$eQ3QyA#SWlaw)lf!0UNVgEt55Tw41Ej@ zhZn5EMGII3BB#-YjdLwvCqfgmY?cfZI8y6vm*p3RQAIK4jVC1_?bljuq0l1p)An}CxTkHWPFlc#)p)|KW%_*YrBEfbmdJcO3d38 z*Fun>4DGlX{BHO0XA~msaJiRTvy5&CPxKS0Fgfwh?0@L%tMSTRMejo(zhq@8-hf5; zG4BU$%Z9umUE0H>zjlo)R;}-qpVGa)DdiUX1RJq`yD}u3JO7Fa>fJ8*vBl*`V%v`t zN(1y4_~*ZN+?5*U{vQCH36MxMf@P@%iJ1@6y13Eqgz>3hdRcMd8^-D@CH&K zFS3Ng4~TvzxmvoI{$dx&EcusRMf#WJ`TS2(kvv;cHi^^JrF-MC(0Sx@-8=zUn0svU zOQKtejV!AP6L#%a3%vE?oYG_Mm^ApYNfIXsY3IVD{$L4cCb5^7{KCn^;F^)Y;YYv~ zrBy?v!=GgDd(OdW%dEyqo+VI)`4FRJ8oHa8t;5yJpHnJjdV(N`sr4<|{?+fa!o|)# z`-xKHBRw+XbiS^l%M`qMQ?MO&g+}Ve%7H|Zvm3a=Cb@#Lv)t>7foidau96Ui>dFbI zNk1924`7y6A+}D?qa%$2EM%sSX$`x1Bx^n!6RaH$@#vhgoa9cb0K%ZV4rbigh}u?; z`-X%bi78&zMt#=b3Go~A@gs5MlA*|GPC9sW&yI&ut$d`d#f;CFW470a^|)O8T>-WY zV`E`$2sMgCvzgvWe0j~+RGvcCi-O0EtE<~8j&i_YM7>+u-@ipY^CqiE!;vXNieW)vIw3R?978RHrF(uk8Dd86~d3?+Z7WT^=8{}}p!i9l;ScZzTGr(xr zS1ln@;W096YTO8Gr{CvJE)s-me5S&_y8HS+_{Uz)#&`G)f93N}0odW8Vpt@))UyRr#l=B3ZWvjS>)#95!J3 zVUcAv$@FTQ+Pt4Uog!2mkuqVFdrSnuj7H0HfB$ z%*ms!nPh$b26n86%rBUPU@*9#c}h28rlg6L$m%OYHV#9--m-sfcKL_;^u+!?q!fmQ zXKl!%h+r-6s%sTHS~&86e-1GvXlC?bwed3QlGLySi{P^YlM*oKFTfJzS0#({Dib4m zPy|ItV$?BX1hc~9KSBQ^3p*Kg6{`;e7Ew%CB0?3B0}o00&^#9pNId%n{D@tc3AG4yV}R)RHnJVvyUUGSQ@qGd8mpe{K4=sma(y zTPv5v1T7O~dZv#ETH&j__^ja5%b`fzgR(@3ktV<|^kD=bO-ak;5I4h@Gs@vH%6XJH zU@F46^E@pw!Ci67xJ4QBECm*qIH-d-4}r&{Y7Q{m*fhGWA{D{sRQ?SXbm43jUQ>L` zOBWr0bGO6<0*uy@NyAq*2i&^@Hg1UQ$#nHg6skpnOi#m#xfqEuX;SylP2xyCkm6@1 zqv5^;UF(0S;5=siPn18dHl(vcKxXrHdkb?d@Lxh}eyCM^iYiUo z)AcH9Q&4~Max$oRrEi!`C9Sdvc-5+n`;g9uEgF&_NLAb@xQ|!Mfkj}vWs>>h@@QV{ ztHWV3uSDPa?0f{OXVhyhQnx|us2m`#(xz^l7HRGzO;}}dIy=72c(CbMRZ4%g<7BXs zNa#>#3V%vp6{hP& zF>9D_!H4c1jSI|2qg{q5&xJom3a>!b(UQIHUK$@sb{B^Y570MYyQiQ8UCzU?M2f6C zg(>9jO1Q1Lf~3c+q~fiiGulNKPw~Jr46k1hg&}HWU!q=rEzexhi!3H*qg9V9R~_l( zcy;zS;Oqu4Y4g^|3@%Yy7oS+NLEtOYqWXHZT)GcDUnNO<6-q*VH_i48k?(7L{ z1*eXUbG_~Yp3zldRIH%qKnu3$pBRcls(FRfQ1s9J6l123X z*Acrv{1w(ifIbbuSIvFYwh&+fTDVWzyGd9MZ5hmwT9vMzeuP(DFL9arI*jl?8hfda6G8l z@sq5|uR}$$g!j@TsL9Okh8B9HbizY@z=P#{UA!oEGECBvzhrNSQBf9yi%lnE*~$;K zItlK>%)=W1emmz`<^oR;LfITvw-t$;f6uai0=oP$AFaHjBeZ)XZ0qC=nW$xQniUM< zA*Q4|qW(V4AScK(K?!5x2ZulKHgd2Biit(UHVGToCcjJdfS#nbjiIRjN4DP%6%!tG zJ5YugCrufTBC#NoJ=uXD7L0QtN!^q3Tm5Xj*?=HJv^0J>iBmP2v^tJ0lBe=!;<1wO zp|)vkRnr>5ZIKYmVTCdz*$SPSiTI>*!h&#TSzg;sEd+>_mb?ip>z#~bA+dg$u)ke7 zo3~<|rs*)I~RmT<`g1Me3yNf*M8C2eSlptRGNjl&eN_o0Lsa)GOOs@@Hm zGF(RkfB#)H&|xow`Nrma9dc^AWnv&bD$;+i@A&wB|>#N=g0U^6S#4D4>nlrBGVCh)Iey>ONleJr@W3 zsN1~-9J%g*hOdSNg9-j1%Y8ihY;y7n1wok9Ym+cq+&&xV3!yi!^nETlKS8EABBj-o zok|li$bUB*m^|G9NiDCovyKnI$wzp%51!}r`s{c>!TvGGt zFam%QiIXyhaTE$Enh41_{fU#l5s~4xncayz(}}Rz)bM*JPq(sB^&Gr<4`{_;X{q_k z>Nv)}_v5WQ-<8TQ>Fa^f*-`5r$_K3Xi92cPu*a*_$$Vkkg7ac4EkDp*P)}+H^pPl# zdz=Z?D3Hcz#uh@BvRM*kMU>e*M-pOuI8&)C8p@)L6;H8Hz+82?bJ)v~_+dOQ_qSi8`+?yoU6K8dGhG(v%;sqs zcj)1TpRYq{%E;a~;88m*^dEg_pgM*q!8!=r$;q$QvFUo^_0Ndm+=7%`O(3oIX9Q(> z!7r?Z#`a&);;q$x509~ssvh-+6T*`~1S#B;EbVHkvkN-|3(3@&>?UGw z$9-vdZORGKOeJ4-l}*DKQVvAiAx_28gtcd>A(nz48VY@88~+nGR&fVGoOWNZ`SBafz865v1pENd7 zPL&W8mnk;GFz9kMUL^^)`$XfPgweO4ZomA?w6=^$1Fm;j{f|Wu5gA@=-F2(<4A1Z7 zipZ4lJ@na1e6=It#^kz%YXbeS^D;rK3wO5E2hj zxo;^TqxA|>D6OXdMgjjz1G7#Y?<%dg=X)BV3s3ZkJ8!hKf+?EjgH|*lH(j?Ov6^=Z zqP2_KTK2*T9eyfE+0=P`E=UYZzV^;SI@yeQ6!pB)pelOgw1yQ=rI50y!rzc*r`lIC ztydX3mJyT`N}%@%$|K<6F}Vt#FBln)Q*Z%pzp;&aULIu_o9K zu5pw}>X0}nagD)|J;M{mS>PyOw!#DXe4XfkXZ&%)=Qwo2cU3*$v?^b=Z7ZK<{(QZ= z+Of76XVyLZnYLnz6NX`u+d9bH)ztSFc)&g=9AKn&{2OGAMUV!B*;#NdTvrh|5!Tqe zvp!~eq46c=YCbj}or4H$EKK@+4*z_hr`PCA(a$+XCYY{51|)d;Ssri5?FIZC%&|El zNl2pjG<*{;UPOl|A*PsH;{XBe1Ec_TJQK9jd;vQUxJ59z{Y$Refew zW$714=}?i<8Ls>Sy-lolpVdWJs|edclo(aJkEa+#un#MHe&y0+m4rv3)Tr$oUG zp6^t=5kP9p0K|O_Gz4Fd&*cnI>ku2@PrQZ zxyrbYyyd2;5Ik8v@EXTb>18bsURMG^{EY3AWS6v{c;FF3_#CTD@?F(0XLrEOQ|}Ax zf0z4VUZ5#CIsl-Om}bZe#QJZg|Ev4MnPA+ph6rUBFjOcUPX>$D2k=2BVGaTl3Z&?R zenv?HfYnfVmp6AEGPa{J)U;8pG!V6zzL2t1%?jryeflHE&W_i@yqy=;3dkTC_$*HC zC6RAFn1Z&}ww4-Wz=VLavdocMJBudj>L;=%X=*XbYny*rj?;QU+n|?j^O;=V3*MCG zlQ==I*9ND%8}m3ppZD`KG1If*(jDKIKNmaCRjhi|Q>rzBAbsH{wWFg24FvXo*;gfe$3{%xBfKo zST$(=K(i5cFH&Z2+vT@w+d_12+v~Pl<=IyH+}X+7(PB*dh#mZkS*v>)Y&dbm2=_+g z^5$(S+iHMS6efk7ppUz$2Ydj8h zr9d0HaQ5u+N5rfpdM?jjd?ODRN62t8sTMi*^mhv(lvV4vIw>*m;LtgeZOD;aj3qQvfz^1p?WbL z)Bo60$v&12KzyjDv!hdm;ZTJo897-r4p5|Mxjcp$p`D~HkvX!?%4Q=J?f)ZXv!hc> z;((}w#<{;YXgMZM@OKQrNf1yspCz?Kl18c1KRNW2Tu7k<104A&iXfxE;wfNriHr?=_( zv>mABvcPN6fzK zH_`!qAN~2-!nxny7edgp&(cz_7`Na8n>jz~IQ0bE!`Qa)r<%yDcVW=9k`ZiHHo-QA z-TK^y3G2Ecke-e=^KD?z~6r}Tn9b^(LiN7 zB(azhIb!LtbQb}#ICt>t#uj0-NQH#LI{gnV=SN-}j!4vDO-W(zZedCN^g&#wVN*@8 zeaMb_fM?PgcxLoY#y*=r9RSVhYKN@~?3KUXNGp;}7hyp=$fXzk_}Rs6jDTekU2K5$ zKem4}$_iwkb`c1RbH>10?7jH|G*(YeJL+||n&6?lpANWIB|rz{L>dG8R2*gfW$!uN zBuWG#rF9@#EjQw*_;KEQ%xgn`vuElvhu@`~!RGbY0$^3Ff_LB+*7`H}%)Sl6jGUt*iRmT%MtpPelrx=X>j5634ys?BRpF21wS z(oKgY#FfqKCVbAewSCTQ_0JIVgPIeEVKXtw4}Caa3?H};jK?Sk`sc+mm~M<$nrDnx zah!q((#-z>P$o`Egwg*1Y9>y8#Qz6ya;g>uBIuZzWwS#xa?$hu2jIv>&kg-Qu$a+5 zt(YDYvVbwI$o*}AU<4xV78nwRaZIZl86y9(XnNj`K;dx_36hWh_SpslFNPIPkETW8 zlrp9UB3pT9fg2>#eWIp`j&v9lR3LS67$=Umq<={Y+`KQP3C`^}(x43V=CY*lW4WJjQGJbOrCE zQG(xk@eYuGq(!=T=kGDtr3E94h$ZJQ6elpq*3A+1 z$3?`tv9QS|I%`l^7V}V0i-ts5I#F0j{mC4GG-%X-=h5YQ3Tp~Fv7v1QUaiD@u0cyH z3jbRPz%%IEHVoh?(^y(P;5WMxJ}&HhMVD*ZnYc4tDAfrCz^vQ#n@x<^L#)Sk3P#HE zH=DMq6!sJ>Atg&N&blh~09hs_cigFO-(yCWNFyM@RJX(dYVN2)OL`E7Ta-Y>p!LWf zhD(x^I4fLhjN*r{nmHH7mMf5oHSl@5*h1}${!So0e+K8Rint0A#+A6vy;c>caHavr z-bp{~S$W@W9SIFrzIH zBx16#E+k^1-IGYCi?DGvWDG18`*dTTV=QEhG!}b_sxWz%@LrJeRQgYj1A6l_?l|fhyzsy4bl<9tpt<9HMg^v%5$fR^oEw=*C!SiXT_{Lf@KnVzC%kgb|C;ryYx4sr!cz5vqvzh`1N zsoQ$)PFBwMYxG*a6gLNweNfbZg>OTFl`ncMae~d0YNkj|TPRD+AfxG{NIH7;tjI|r zO7&7i*;0y5E=o0YK!-d9r3JM-c$O?>BS%#}UNwihoPfGK``@0D%HPk(QVu9mPNe8c zS&MG@q>scvqRP12+K2K$Mq|z_DZDxE3foQJ5fTWw-d$i16g^1~^KrtF>49Ah@&U zf!W+3Hzt3UyY8LMYg-AV3teOnPfbLRjM$tcnU@#{pD)e)T6aAUvPt+p<-ca+pomW} z!^WM%X#r-7;%oX}!{MtylrQ3Rd@|#7M3mkT^Ey!n%<_=h0{vmt4HtpK#Aw{PKhs&$ zgsxuL2Qh?LWWg~0X96IoP+14;kG@iW_{NS;Aed^iVrK}Ma6D@|MS$oPvx60ir{NB@ z5b5QLXW(G+rs2jQ=>Kq=>dXDgJ(bGIEgK0VJ^U9ZT0jvBi2|g@Ka`{Q;eY2K@>ZLC z^IMwVVS+!s!hSt}3+Z|F%a6NCn?ffGTJVG_U4UZ9LoBF8_>Un6MZgL3&lvLC&H~p- zUMK!*Yp;DE*_{52CSiDspwn;vwX6GcA$L{6=PY$sA%Pyb3UD0_c&QtoxMl#1ct&qy ziDK}Hc_sK1%hyja-=KFs;mK|UhNo>hh9}mh%?-1E!>;Ka6}COz+2{cEdmP*iQ9~SD zFpJZzk<%@zlaoTdBYB8(dD7&8UK={@{FM>t{ni_YQ+i58Rr4qvuX?iOlBU%)Y-}X! zV2_CEtZ2XBohR6MbBg~5r+&PvcX~v;tz2)2<&1OVBU<^hsok=^Wt-N8QA%)dZ=78* zDfm;}&p75?mi0KW9I}tk_yrG{3z7B{=|!fbR6)@vvgh`&N~-L4^rqa&!I^m1xCB>F zT)eBsSV!1+hvaxi7;DuVj@A>dT!yg-;estTZXB(LY?ncpa;TjFd_V4Nf5sysrV!WG|)v?}UH*lE#4s^`)FO!IDkEFv2i}95w%+2_EC54*QPEn}uHs zY|#W#F$q&hP94DUABRCP`*r$6&Q0L|Csl{&9)0l8p4_V7P1~(t4K_76tMS&PEy`hjf6i&YZ>Pj@fmHA)6U(;L%s3y52Ln zlUy+u(pP`Sxw_@{vrt;*I2}^$MOGzz&<#BZn84ll2O z?x0$yr`CzB9r4T0=|sQf#5f72cyE33r>aD6#zgPwL~s3*LeSiU*;%P{4)x`p=e_{z ztRL$vm}`!Q9>Su@X({x#0U`VN0DWq3Ju>j_wfF!$aY4C6?`8bUPrv9#*ciX?n!4#M zlV4n8JZTsg?3-wIeO$xMf0pg73R{^P=QeWJP6@{pr#YMFI){_*z64l2ifK+--Ew&T^ ztU@6A5UNXm0k+3lir^WYV4l5*pgVGog|KD`V0?!IKsJKo0nC9-lN?EevN7vAix#6Q z6vCeb#%3?|QUJ`Q>q zV>F+}ASpk)fcJD)R$>+Z$0kz?1%=SZrf#|QyWAZ%kK)hxd)fC*)oY305)=m5LPr6Fm4jU5|5PrXiHR zk!FBg8~IMyA~rwROIsCEM5zLp?^yxtms6`FZp2kR3gLrVxK`MXO{j~GuZvEs+oUr3 zE;isKa@p%t3AD4XLsUS?EXmovyN21S@$|on_41!N6zrxZe>k4fm@{j2xMV+M8qg8| z?Q@`!kz+`d#W1HWg8P_(D_o_(@pW^h7;)K#tWDv+!8e26$b&Q@_sV+z>^I}c!`NdD zI`(%4Vr>2H}tC^)5&UtdK$W>J{}JCIM?7umwn(q`-o)XgwN> zgyu=jtEb2Kwe7~8pyeC zsH~5`w}g?C!;9~0zTaYI1`6#wG>UFoZ|9$N&e*qsJTa06#W$yw>_i`1Nh6@v=`WjF zcE5|nM;nnGx%H@`)3w81seg|LSwH5YOc(KGi*#P@E+=w{%kKnepk3llpT z768aho0A7(|KCcRwvIEtH0HKrgY;$(#Tj>fb?}s83jH<7kHStBdXgWhD`Ke_lkyGC zeTyC?3>>^%9XhFv7$C45k6>&+Fnhk6KIJ}m$af&op1FgUM5-j$w`m@6UCdsobAgYC zr(e#m_ZhTlh(@QFac7-JKZhSXB!f*?5-rp{daOW6-bI05rC+aJ5$B12PGJ6pYnGmJfEg7OW79@U`=NlwR4%u5Fy!oIqNz`o zB3rzo3=7XGPteT3+nvKS78`52~8=wlXFUJ$qJ=v zpk3fjN*fKfqGrp1zYuEvT|JRDO?c8oGh+gB zV!c>UY4qb+F%xQmGP!$RXzU+JAT*%4rk0v>FhV2X!hL|OLnU<@lLs-HLc8=tC1XtI zx_cg+ElXp{W0t7xMf@xnhVZpIflby#EO_m6+-A%b%+zx zewiZHc?NnDnxWNL!=67A1#xuiXxf9I*dkcJU-Q&RYxaG>D$jHCM!Z5Cm7F~9dCFAx z&Ur@W$Usw=J6zbxY`e(KoWq97j(7Q$C~BY_bylML6VaAk0EvpzoTuF|B!yZEFQ5Akk;Ko4sieTts zi2iXMe<9vQ@fMI(Y!ZkcYmZ(DgT?SYGcwk0Y;Ls{!^M4p6N%3Z<|iZ~GT+*zfb~f1 z?1NKdevm|0WQ}F{rdN-@eT5*CCG5`Sbw}-jK^ZrciWWoG)UHlHhH570Gh4R=^EO1+ zUdy$yN<~lMp~UG7sLJy_C7NUeYwkV3M{4^zwslLC>9MxbLck>wlTSnx)FSlKV$DkC zYqhE=z3=Jb+jUvQ=8Hzj#U0b^_iKc#pCwS zc?F&4DMA{Vw%)I5#QO=(YhY$G4S7kPBm@ZMZ3;m<@>SM7 z+&^*PhWtK3wA~n{5z*5~Yie3}iyFl{t~)Q)LbMfs#RS^Mdy5cs(Dt)UUlPK&(gL<) z-xoVE)aevHd@25^^$=6^M@hf*6naXm?Mj!^X@ub#+(7o)J0#{`y@7}_F9s_pHm*5( zl={C^ve4ipEpo6M<%^W3T(hk9gH0n=Y49^Z;N@3zT>ME?l5AF{8kd*!16Y~xVLF^ABX59;`mCT%;cK?UabT!I&qa3@m2toBa3B z#=x4aSV+^TT|t_In8oKAzLLAx1Dro8c&#+Z6CvWUWzzScntojil*MO-_LB;OLN{Rr zbI~*Hpnu{}-pzoQ0A?)~zW0Mf*L%UUmMxo6hF`>_(#D7%uH>Bngh&Hiw&2KC z(2YOOY%;HiwwJfa-5!HBt7ca{dFE6o_(Idgbe1hwG{vtin(Xl>u)zqtk9dZ+tMDuS{u0KFxC zp7lkR^w;F!;Y8=D`na=B@DIagx*cMf173^z#Z_XV*`mKKlaLqb^KB4utYm!t?)|V< z$f26&j0DOvh@9dUCDvgS;QTr*?uM&YBtJ$t6y2mj5ARCCD>)pC>-sM?VD0W|eYqIH zLVfx#90k|YkxTcvF8v&%Je3 z@zPYs1TmVbDvbKiQ;beE@N7>xLNUiL_f(aRy9=How^7B{y~VFEb~;w#8e-4ax0Y{Ma3-J zLF)HJnZy%w?z2xpWn}}6$Y^p6YOamze4Y`Iqha(B=(JCF;UYRKX=?Uo9U~9j#>24T z@a`$=Kz_BOSo$2<9>R54#H^2p$ZOlo2GUkZzy?;}9E=Ctj%X_vA`U_5GtTg#N8FnU zv`?@)l-{AM8tfGd{LG<>2jo`7?tQEJp?6M&Q}7CA)sl|zL1iw4_q!|PQ)1JR(`e5bU1l?v&#$&r zB=;#Fo?PiiJx}7Pehh4=^vQ8qR-LhXDr0yHyC*G`9m+0a_)o$AvyV%Q(gc$IyB!u* zs|jqa)dYuBg#FLOF{I_axwEwHGH}BGHg+jm)($$un9CEXE-nw+)qhL~6Z0s7)8oS^ zd1GnWNiK|{#u5__cY~ppC<5EkDTa>KEUbx+*5->Sn)pJcGg=S=l(j7kCyeI@u1lGd z1WjMl&j2bsD%vy)v{SOYgkEkl-91l{hEgL5k3QayJ}24y-j6aJJo`8A2O!gWOCKjI zr>BQ;r@Ial+m)ehGgdAZHpjIg7iT%vPV+0oH>%oIjwIQqqSwTX^710A(xkV{g(=?^ zPWKv}`O6y*^?lHcHgQkU_LZt}+(~v0Bc2J7u1t{8aTq#rmkU`FjzG&N3+klld4@!d z_GTgz7u207>UFpw2iyE=J;?f}6BjhqfU$OV`Zh~_BQ97i%&}D=J7EwfDxEqM{;&+A z!GukWNGEes)iBQU?=$V5%*mCXyV&_~F^=PgKp+_>^@2B0Xy|u+45Tgp9X}Yz5n60Q$FnzPKX<2%VsF)Z%LktCp)duTd`0$7_d>Mdy+Ye{pKB&yB-An{XYP&ig zDJmi+8y+t{ONwQ})@(zSHj{CdnRV_Wt5tK+3PCR{w^(s7-%hWVRBEs8_vdQJaG%bpBvX){F?1|ho+ zJq7_fEcZeUMiEiD*&U0fIHvgou;YYoAQ;UdHDfUUwZ*3+vD#b)R+HgpOPMozK%*K} z^1g+wF?1E`<|A8L4T2q^9*nj4fSPnLlfSD-TQWRkaHnDSDab}`g$+JmlH$)89@aK2 zEvoy$x)0t|CjvV&2hfXUnKiV$l3b@Y6-y`=<$JdCAj@iABl~<_JI=jzyV(Q*=RTFr zT}nMq7#Lza5Gc$o`HX_|jXszuJQ!~gpFBgG-+;rG-rqTdq)UCmW<1M*V0ti;HL6t- z(~O|Uf}B=_6BGf{!?oyCjU9HkB}E5@T}Fs+XA;JCxOVn58=y-Qzs_it95AQ)vXD;!+vk$+V2Ge8wE4F8 z3olR5jucD}DUh8Ye1Lud8K?XnP!l&Wg@o$_)BPDx(a&84@R;3a7fvjnKa(`+UX>+ZMLQ!BMq7 zPxg#8_-UKdP1h3aQz#I9B|3=KGgSw#?XFawxK(BMFtH14ON-#1<<5( ztBV=@A={*Z8a@c-1Hd1O$2aT8xz?ld0R=s~D9ZQw%8IcyS0CAM2#P6~2y=)3kZc_G z!9Y@KaNvTn!glZfkaba&xQO|Qi+eE^Y?@|;0Y}giR$lP04?n2@|8?z4z&xi_Wd^B}5F>G3EqObWU3Q$Lg1J|GYO1 z&Q!Ui4?upBQkWM7PrYx#*PoF#=-L&o2}1r>WBLxxKJ+#5jP%KU@ZGOwe|_A*=)Laq zja;RumIYcPYk0T{tid~C%e={x4_1IgGQ9DFXBhe%06Wx0EL#2Sis$>r$zD-_;MooS`Q2!j{b|1!x1Xx{2Uj%q^GD>BvcT`RXHaFd;EV?{ zDCmansWPT5TjMxF$2{A2I=a;GQLtCp(fFr1E-2!LI)e-pxp(>HfAjE8wS6dPo*wv@ zFE859O6}#imafV|@cnV@hgCVM|C;;P``gENLB4AQJotW{ryP$HMR`+{QP5i}9vg?i zrvktFXnxk7bzdHQ*fu;Q6Uh8mb|e&kgli)a=d53V`zpHsfjzP3pXj^%J&eHn z^P@Y5XcjThqU%>Q6TAF&2RrOvq{QIPZmE6J#1D7DJ&Nz2C33gRQ|`YLJQ9YUzDu3% zl1k@wPEmdLiOV{q%sRZTk{gicK&2W@9vkbJ~eY@rsh+3RsVmb=!dyc5_^-+OjSP!Rtv@Q^SIOSwX{d<4kazU zKfQ7e!EyVh(@3@3!)4<6{T@1FVLS)m^7iSPn*{;9Ix&Lh8Lz==tHAt0&(!Z(FnQ`- zl3G625e&{Rp-Al5DsAov)pCM+=xEg@GN%pVb=r`%Kaw=!1ukyGg%bjyyAsoXB$Jg& zg|L2|vTsXXQ`>43z<%pFUi?<-0OK%^)Tzn&M?G2<#Wu-iF?zRdf@a>OWRVuQ|G`$~ z-AH&ZnyV3wN|E;xmaz6B-Kui0Kb!9c*3?32zpS8d4IkE$%Gx~C{TVCQy785`bKuLy zP68@_(R(a!5n61sozO)BiHmh>g}^)3(z;UCEQm&5Guz$z@9@FOJ5wf{fu#UsYExPm3X7bC_5HbbrNQu6r>`)T1s9Z9`<&(mZn?i^W|_tS#o0pLcqHk3 z!Bixf#0N#$jDq)g0}d&TFQ3!7T!}~K#$8(n_f4F$s!&^gQLXZ~BncG!NhrxQJj+Uw z$tdtm9+S?GNE{0fXsy+cp9iTOC0kOtrj%;#%c`ll+j&y!_{exe$Q0V7+;Vqbt8>z?pYJfyr&e9_p9D8$>G8hhx?SgqCBUYeqA*eJL_CM*mV^2d_R825VzoY&*A9BZ$!(z_BS0v zF5UGt)XSjuX650>?dOsBsl`4IrNko7dj=!ivDWh({54EK$7;knf;l;L+;M82-(XR9 z@!`6V$f1Yp%G}Av&|7nWG4*pJ*fXO#t_9bd@EPAJlfR_dt%#xN80CuH95i6aZ1m-p z(aU<1k=bpnZ$0M=v#2~y%ZqLmYm2v6NOj6cu%vziF3Kr3-J4wtqoxarl7A7fES^y$^Pt+A`yw@PVtPdgJPf zOZ-^tw@*eB9Ll}7tRwRyg?x?^`U8H55fIMXt1}L-pkSC{uc%;62#a?f{QKoz6zz}a z#X!JK2;9%y&h-=Q1}M1&s+_P+5>MF0QE@81&1&)lh#4N!#t zwLfam@%*j6knDHa>7`L(BLMsqD1h6`)T3o&beBCDJ<;i``JE%caW8WIt)zLu7H zQvTdPPD?}UK7y8s)q)(;QpQA5L0UdPMn+u!2l046CpG(^yafk!#$~qGrE97j5+MKn z!hh7ZCHT1Myk&6e`WWFnWyET^asxa|2x2dCR5ma!l4SCB_%7aQTNV~LPgXqeW@RP5 zeRgPWwY7f){TiLb2jPQ`n?@Z^KqF&Ij{U9Kv42SC5z;vVoR zxVRHeR;=kuj1e+j@hoqw0@`d|TPN1IT9v#O$wO6-jP;OA+ z1qH(rBq!=xHLIj=h;lba+(zjgr@$6Ljh>^(B9CUn7C9G5xYG?Z|Jdne`6rCiOSJNP ze6Y9iGj6r5k2+@A7(0M5e2*PWF*Wx3Q6J?I=~p#6n0~}udu&{cR}}p~zuMklJD1Eu zd#hnaC@7?zz!OsvC`Hz8w5BWtv{*>KP}}ADna( zPK8^svNU|Nq??ojx+htW(pi;BxK;ZMlJp0JMX1sTl3!uVx>Qboam>IJ>Yoj-o z#Pc&--NdWFtBrp2^R`R&S-30p#<$u#zpUfgTN@qtqq;+bWp8xEHmjvz2kg9iVE1R3 z9xbE8h@+)e_pUvi?K*Y}PLN_8&gP{0b5$OaCo;NlY3IJpg*C8iJ5&YNZ(i|U+Jff) zMy7<50%)OYtG%R|JYUy~gEejOWS8S(iEMTieN&gs!PgrWsL$>&58q2S{)x>5C%naU zPoY9HIIM5>t%ry?Jy(Zf(pk^zGGas7?6!=NCECCSPD=ZY$I7o&E8d4FzZ<+qi0L0H zHrov4&UxDN=`?Sxt{0y6Im3=k9lDx{jtZrEK0aQ=`-c_F#- z{Z4uXwH?~+YZXM-q#V*jT5$F-!l*TzF}^gE|7v9DcQCo&gRf_ZE#!H66*`@0d%QgQKY{o2c~1&?5gb zlI(%S2pLRPA-PkCHlOFoX{k%qrsYNIptFwqBD<+Y>R@~or-?*pkoY8~^+ie$`4pxF zL~dai(d(6`DMU(MkCDInxD->7W)+REjQ%!tyqPg7i*VMs7BHXG(qVX*!Tj>kpbDhW z)ya>H`MLxoFsqMl(bkEkPpxSov`SA81pd{WmK6bLtdesV0$ZUCD6FD$8v+**TGgk` z0~e82$&Q^wwBT21;lJ87inPHPe1#XZ$Eeqs<`P+hFgGCAOCG&<~a;n(#GKI_81ef~tpf)Uu%FX{9z`>NVfytFF+l>Z|lSf_K1 zkaUr&L5Mx{Ji^NJQ+eO97yvdjF8E87%rN;wO_Bat^BTAQS*nI;%hpVZSqm7G_~~pV z&a%bh{?KNI!Sji=-~^_zFz3U-F09BasH3>D@_$SbDvT9i|5RNp@n8JQ`$A;?*Ymt?r5uW2+J;Mm%V z3m0fs7VmDq5({rXi2x6N{0_sI31_TktCqt8%CNK4JpTs2u8l|(sHM>FloiF>i847E zy=ksjEoa+mTn5Z0C_c4A+|*1*gXTO;mxDOIweEKMBhmCf^ji$Ydxg?*mWjV%97DlE ztjn{RYXI2EVO0BM%*HG*fW>U2aYw)Y@)#>Ii#IXA3a9#^N%ANMDFs zsL3eGz<&uzqS!BCghGvLjs5Bt`BZ|)s%!jd z!2+z(nq``43F!F`7~Yn5gkYVgcEGEzm5i%)SJB2gk*5B%Xcmcp(@J~ zUUiT7%{0dpj-?dp0c>{`vCi;v(_SVZOVJaz{W7~;K29K1casSyNRDZjIgB?ZS<#5I zH#A4g83>w;8_(BPUx=H9FYC3;U}07NCytmWMoJ<-LSK!X&bQgYM)YiqEE&=plhVvVL#k*z zjw8P{E*rZ^R(`rNYo#*k)SmKcsB=1<)~PFM03|9D*Y99P@96|6&G!frr#~UY!o4Kc zdbQOfjwKifsdf7-*>1J?{7AY$mTgAC^@_bl@dp55)4Rh+>?SQ;5oXF;^CH!AO5tZPvYo zfwh2KuP8AQDk4sg+vs^C5&4QBF<4&JlQb)3O$NJ;+zN^hp@tlm9Z_X!l?n(A>5e#A zk@RmMqmek-xR=0MQhT@{Ho{&%aRpdTjr+pwL>mC|>oKKfSjuX+g+T9cfPbR)pB2F% zrf}}tKAS_rD*UO{@bMN1&r~xW)e{e9z-lmpC;kg}E;s>FijFwZK84pT zbl4rlu@KtVECMK4e(7T^cj)AXRc0cNn89PAj(Fg~ zVSj1%kuKheAWuixD+{I~&~PFD`K~VN;o6_rEoYRuRYk#w949)&n+qLPdK5hA{H?47 zze{herA9uKBDOT9uQqB1(Rc+;3qT&zwSqM48+tQ`t6ty2NdZ~x;WQS{V{s_7Jx2!_ z@o-w$7Ld}W=J4s|l;mJFP?+AKz16=Y8N7U;d(I3oCNJEER<(Ioo#_QvRWB}{Y1#14 z8&y3O47oCv*!s3Ms=0eN>nwHtv3+$phcH;HwpBWPxov%jsOqRXbMzGX3FIC8GQ_!` zJu5kPNh{vi1@Q;FrA|K}oI?bZl$;1f0`~Y{l!MujAEmma-zDr-egBlBxonkCUj=k7 zl>Ghe{1lJDS^PNX->OnomJR(uHnD>Hk>Zz-nE3)?c+0TvjY@lZpChW|Js?~rRln*3 zYut}_o`90r#%oFFy(_j%0bde154&Yk;O519$<)!4;bI`#1FTTZb!5#M+p)J`Az(jk zy5w!wZE78>A&_#Xy=!Et2E9Yv?{C&AxtAaLVv*cWvc_iEBJzKC;*Y!JEZ`jSGYEZI z$4sNaZ3YhnGkGtVGJAr}V+9XDMxJ2K*!NY_uKQ&|5;lCq0{mG18S{JGOsUB9wvE^tpNQt=hBCkbt&gYcvcBe>g4k~5Di1Z$nsbTf{oPxpw zA<>EZp;C#3(uFFfme zD0%=?MEOj##WDiq03WxPU4NbF{~q|3z9;^j|LeDxs_>)pI<^%>gVuK^=Wg>g#ZcG> zgYKo`e(HsU$)%`RHS=uUM<#e=X9A~bEcoxl*~aTAztPsZ#t1*13Yc#Oj!yThd1p8y z;G7)t=v^u%5pdQ|(vix2bl1+mFs>yV5eaO{{4C0LuP%PnRCDf8Q#wN(5ioGhdl`Ps z+Z!kOkc#2pe=it8-Q6UC9*XAjZYSm41YZODVRc&{tfrHGA45@mukWPn7vM)=eE6SJ z#dL}US}I0}|7~iB^rhKC>8K0c1}uV8s=O7@B8`q znw^NjG|XZayIFe8$(Fqci4l$$;D}zMTGaU_jD<9xBm=3ztR`<1W;3x3R;`p>O6rp> z8`k$L&aVQl;xJKc(|nrbpC>B++LQ3&x4I>oXG?nPhrb6R(U3~CY=!s{W!k6NmxgSl zs!0t>jo20R1f+2kSqd z%7=z5Etgnq_e?gbAlj^^P2r-dzg#}}h-|$xtzN36A7#hxS*wIiwDAmGbt#c%Eyq~K z(jmY8S$7g5Yi-TsHpbponk1(5pFN-#pr2hB$0(x?)WO#7Hc%u=ref(b0zqBKm zAFymyIwU#CDN@N3{FRWp^CR|OR_^WZCk#eQ+M0Vg4`6f-&Qqg2OhzdR*GByoPl?s; zz9UUFKk2LmWTH<6u$P&}{nXpo8U6<-ScJN)C;DaMa<)NK8@QSO2-wEz`4R_3qfm9o zIU_4xMgMl&2<4R!zaZu@Bn^rj)G#556lXZIJ?^4aa~2=#aYlpE%!PwZcW#|_xX4$IRr;@|y6)eG-B zeWH{!WHq=~UnPwBKLf*?$4RI4Hcjp_Wr$rYokLU;;IUwv3W7M(Azl)$EQh(V(sBGj zY@CiFdac8p+DvTiX)7KhXpT?$;a|451s{9}Y+hmCqhZw5L793pfLtuQe3e_w>P3D` zCHHJOZjQ4O@n^FQMES*7b;qrcYu$?{-T<)uIIzmr-QtJ zpqn@aF4Ja^PI+t{Iu-#(p506iZq5!tme#66+nUaJl9}ti4)?~G*eHZtfAEELxtKk# zm(xP|q;!{<&fdJekEVQY*T=%Qy{|=q^7)X~IVz}DFtgdETDM(wb_@#IO64|MQ`u+;a3A*K?iCjq$_gP7I$L%kv34 zaWsR+D|HVAj*B#ja*PgapK|PEUjaD$+C;N3CZ&k((|!guq%8M zM|UqVLcP?rbZ{`7nU#x9{O9TV3P1FtbOG|Kz_7$bHUq3kA1>w98O9HpLtkT4^{uFN zo?GA>;74%yf!-&R7HjiPE*ldnY}uKqo!%~D`+EM1m3d z=-KIa9>bgLkt`H>>H|K#iR~W2$3y9OUv>RfjY51wmd7iLxrPo`th*75cT0aA8!{es zF>%>4az~wvH!!8p7+JSn>PE3L)J^wy2Ftpib2{)Mb&P;OzMf+;d$*qlPf`n>Q^i|= z`P|@F&7pyN-CF8pn}1* zs7pwR{^W4>wl>J)6>5b=OUR_`)aoYxqsz4&5~X&oVCG$l(c$2FcP(YUcoS9qpB z0xYqxJ;wYrmI){q53HgLzgwJCrK=oY$5S)BVWB*2xlCsF>DgRNydRjZuh)&&u|+xx zx^aSTFJo4rnfTuac|%(yBO$FK3Ca%_l`eK4x+2;J%)RtY2UkT?V%J_-W$6G~X_&8z zqOU5QbCEP1h3Rc}z3WGqTkkwzVwF6|2+O@JC(QL8e&`)(G303qNfiZ)8N^oAq0u$^ z?g3j{Z*bDJ&TetkG&Q?U)STa%E%#c}{l6uSDyvX?(CyU)GFo8iSQt z_-Kky$`h46_E$xn^ZunwF=`aL0{(Pv(elbjdi7Ci=jDuzA$@c38pZrT%ZTg@+&9M#Ee+eh;iU~h#hyqQ5Bu|*En$&_4}^=QQ_ zBxnBVl|_-XiZB5}(?WW!M8H&rZ624SAx60~<33Y9L1J6N*Jk2m5j3i$(Y0o#Bz`?| zl59(T|B4F|Iqw{>(*>FwXS~NUd?Pm-4c|RF_1nVy{9q(%NLs*6MlrT?=q9EiMmuvqb8WE(bYu`H@`!zUlDV(ZLd@$LJ+ zdd`Cq?dCO`spCtoFly}TjqE2&k;EMIwGeWCEeY(13Y=@{H-(?VPJ{&f0>yOhW@51e zn1Sm=DJ;jV+JI=mfDu9@@q?RbAEn9g#Cpr)dPUajk|~%dynhi}3DnhR98;zxk?q!* zti38#H8CTE1Suvqxv&_Cpg(~q_On_xD9a~SJ~*8S#758S6%fy>rEM_goD8=6eVNaS zZ<7S?uL4EsS45)cDDYWkbS8F&%Hm?kqxSmQ)C_YB3m7*Q9$X+~8}<>EIbZ4E?D2z$ zZ-s=G4^bz}y$!^~1ovI`E)uerhD2cNu~emgRbXVeDy26L7>+~5U2ez0VTijge#&4( z`QA8KVM)pK{M+|4g)1?98uC|>ZU-33tEllAC*+FH zS+mLxDb}?j_^UFt-MS^{oajs^k%0UTCbp&e=;uuz(GI8;!QaKe6hO4=Y|(gZtx^O3 zh&;ZMcjxWs`_0N7^jO()ZI&*rVJK^4_=g_=`vfLJvTZJ>;?4ZqPPq~II8JD&HMG=7 zgeV;nuiUUbZDyoaoZ4XHv;Ui=gQLq9LV}92N0@=`(u9oaX2((|Z%uO=#Gn z{?N^?dy#;sVAGQXl%XI<7RJK^n0|n*&cWAPG9(_jzl27KAN#pUVkS-qVGPf!N?TOU zOc^FNA@c$sD-1)|V@;Pta+miMl2f_;8aiVN4lkd^Dm^%>qVZC?+|xk})s7kdGkQLW zF}mfHPT&GHmsg>$!stlTvV{xF>+izms4{kNm-5i_|8w%QNxM28a zd${P>8cpwefFSle93n$aQ}*Mu9GYG(ZG@rsN#(ao!G^w2fuy^Z^eihPY%6rUT}Ppb zx4WM_xA7_5CO~-f_Dw$9+Q#6|b!GmSpMKrK2RSJv7G}V=2blfzue|7Z)_QB+Es4wu z@TIIQCpmXh9~YUxHDh48!PA$mA@3*PW>@%0c8Atmn~4~ARLXHbsmhFUCM_eHnnLE; z;Nsd4gsvXADPN>q<&kq}bl!d)BG*#=fCMLnb17E`0FJr~9X6sPhe)qQd!G}NovL;x zl2PfT4rCXP1ZmrEI(_T(R)1&uWKE5j*By<>Fuy20rF8gA)1(@arl7e!AoZ}Kw1gDA zgkN7CRIY0suwhDMX+2~U*+pJ-H4iv?&Lqk@2k8VIRX@yXHUY)t@gDmKlF6P6v(~ZHb4ryDkT#@?KX=Q@9rN z*}1S{iI5~y#u9Q4@51zax&MsxEXx(H+gRNAlWC6=Wb{MsT#|CvqN|Oza{>R-x!*|Q z+TN{wDq>6I`h3!(i_(v10LiU=D+2hHx;78>1tK&RVgZT>5)D0-bO8z%xTXIojThJN zp&!nF#qEwx^6MHsYD(IKqhe6>F}a?E{kqyA9I97GW;&@f4{A?2dOK{-8TuP?AQt)?QJ~ScD@jT)4)qsz zs)|zTr$!uzY6uRbw^p1Ez+M)UVn%wFyIR-rwZ~rw{)HURf13l^l8gtbhZlZQ?1~yX z2)!MqXAQj_s)w1}<8vSqI+7~zHdx4EE60Z|sD9x3$ofNdsd zXY_Hgnom7L=su7=CFtux+wAZ{q2DnAU!!ZJc;eQrXqFUMLQe}Zs}en2Ji^fQrgxBh zj-3#;ZVdu|?#)s-mu~Lq{g>B=#K#M$Fn<5lG zeBQe8slK{A6~2X~1-`ot>1<3mBdxPpCc7xLi2Y@JM9O~6xZLnE!A>aUsu(WKvEO8D zg#S(Mys|>*cXCpCilaw$S7$tL?X7+nUh;$`dCo31fg{&)6l3g3565VMs#_^C=@L<; zv87KM>Sgz{aSii`FM1YR0xxII#+`Q!%D2=Ytn%x%86Eo74}j7wZVi8akz%#E0oFb2 zyr95I9!0BSvC*rp*>RPlZYp~rW@0@7BVfX2H9nn?tsKEAv>(DJaC7D0#ykABS(2|I zu9iSowN96Vl|nMH%!L*M^<)RZbUJ)5`)m@;J@LCHGOxwJb?#c@cW+Z%i*bf}zO|pY zt5FQ&+YIyd1pq_6h)yX&VC^>LaIbt_-FBZ2`e|K_*;KHbCI2L3tGk}lWN?OC5)8zs zr~-Nt%m-Xu&HP)-_K?nT>6GX)x1&W~-%3m!nXDxAq^JV_Xdt|K9yR=^=ys6Kw;(Ql zB#7TIGhf3#Cr%QqGd1Q9m`OCOww_`&9o}Y!pSd*d^#iUllF*9!?gqQ9x&8O^Xtfua zoNy;@je875dYl!Z^Ag*fwtqJB-v$TAnzIqf!-*=7l>l3gxw@S=H3 z%iY!cA>9rQPs%L5NfE2caG^S}`51GS3Wb~(Te@aKczt%1^-sGE*N1(tw6K7ls( zyuZG9rD(g%lk@!&Z)i@KZP;&(U?Y$%AB5rtLb9`qJr!B+1*E{913N1K7+(uzOg^G$ z&(mZG33bRJlyZ&NGho?qd57EoZMW=;fyVrC2EpR)aAMJcgvr>8T>GG^0*#8`fa)D4 z=onC-!8Et_OkZ|dH}=HU8O#sY@E%Z)vL`5G%gWXYQ!o!LGcy#5FRV(_;yJrlb%+6| z)&`uKzb4oOo}7@7r`YMwq>6!DXp06+)xH+T6!gcW636&9#0V8fnD$4c5=C?ro5(6? zBcZGHUB|M>Ti%JYVaimoakk6a9sk6ODBkh1NY-vTHmyeckVSq*kCIZqkTkM#vahMoqRi`br>)j15z zbMsf|3{8?r&P2q{TB}aI3MPks)9yVQ4_?|b7JW4-f{>HZUrIKkj(6~~);c>Vc(8FZ z&_6pUdAMP}M^yHPN%K-r^HyNL&&YeoFh1p!JLepmw~bk~jVW6aXjl?Zs|GUX4Y||3 zV8T0K8LKDvT$9fOfk?xs^9UeQyQ0V-2Mb8J^=1|rC6tOyAs2jbN!(XN3Z0wsmkhi5DMVMiaS7t+or^zDLk-DZI`$j zY2Vox)`2Ii-7AY0maMo33-4|JFQ!Url7H{3q^|uJQF*x3e~rZd!d~cSYWTcnLm~B_ z;3u)XIR#?B(`)8_Hf`e-D*iX}3z6fN=a|@GJtY0-(GOuA%R<+^l2c*Pc*57cvcOb$ z@*kSm11x5+2Gs*!GL50OU5<9eiy+gs++QSUJ{n)o(I+uqxdVS`bCfb<&!=nQzjt%? zK}2Xv-z7e1u8MNZZ(fD(H$?sc;MzIGZ{<-lmlh&FKK;M>aLI@A{N;ZvU)q0Q+y9vl z_b`M1@3mWM&Oe zaGjsEK59&s{$6#r6?}acgdpXF9&GKV@rDp`v}-O$@@f729U#r&fWA0^G+hhRX6~z~ zSx9L|z6;c4+ScQ&scQcH^H0~=)nD)Br16Rv;O-ud6eyY9yj!m5x%WLuY?yv>HA=N9 z+bXT+hig(ki}v}CZ_Cx_^P10zmLbxYjp0--ou!(nt^e=m$b3r9u*d)O$qN4y?kB|m zQ7!Or`0rXl!zNTUpi7C*8OxW?E)L&fRC5NneWg_`UQgrg2R%am>7~>obdN#OY-YNN zRTifsY=L)DA)<4cCqy|A|A1xeHcgjuWN{dRix!mYz$v|1o|wsmEfxtbQXxZI-g3wo zG0=njAk?aZ@3s#Xn}M5*iPVe~-DYU2fW7)L>h@$!)o^72(8SSwW*y!75#QSj!*#rl zv|60(!*>s6>sR~RDQ1Kd%JM|cOP+*XkD!slw}Dr+WWu`Zj>Ch{RvE>_=FR*HttU@k z((1xpk#qlAO;2`q-oo;YlA5%G5YNM3@-lsEi{z7Uc_+!&9|Dxh!$y%<@-KQ=p?I_$ zHU`pNJn`^WfS(!zo4rGP`iFt&>n~;OQHR?s;cy~R8uSAA$T1up185ZjE5l7kQZ&V? zB~&5xf)l*j9nLmNF@rx5f4iCHF&ZWKT=V5QxNzg-XQ8Q^ZKFsub12lu4AjVWH$1*Y zP$gq~Zd^91(17K*&;BlKB#Sm{QlJ}1_gh$phjO780`;ouc@uY)HK%_`Ei^n5;l*q- zAPY!-SOyN8MOQtbj*UzMX6cVxu|7a2h)wq5+AZ`s(e+i?adZpEAB_$bz1wr?W|N1J z-iU1=a*bv!cYJ6-IjS-vS)W*`yOIiW7{yM@oMNqZeY;8Vr)7}=Z4=90$l0g23It-5 z(By^_;5PFgbUFN)UaM|pIKzt3JXCp!9ZGp-ZJEx#ekNBO!m`l@I_PS?8T%+A0Vn17 zy#_Sq>(OIBnEZGXHm8)UV!Tt(J#2lKx+k5!;t+PZRK3^x9%4(0qq@uJuU+yBoy}p` zk}AwfKqqU4O=UNusBuQjPbOU6M3fuy-OC*UkRe@gTaO+BYIx@x5B`822#|_o9P>yU zGfLwmude^9vAdcEo=qnT3`_0omHR&Ttc>t4QKOt`tHg`w1%wJt7X4lZ&=1*pN`dzM zw`v^{B5^0(qxvF~E$Qq7sIcY7Mk%#j!=EOZhy!`V&&!tvJ)cD=F4~Y2H|E_~?&hrl zct}8UBD%$zF_2|3^i>J*{*Owa3BGB;kYVf9ZsvnnpQq!}ur6cP)I70uO-FH!F-#v^ zBgbrL;7xyc^H$(27+c1|O74it^CCdC)H0t~y5^7J-7d&(R0>Zh4|$OXdpZ!4#a?tL zZ2sZemHwPuzYi73Bx2&GET9*7>!?bfc9T9XgO1Ji7)v+jjxTA^!MdERl5z^Hp69_^ zKbCwSEE({Jb{7gj?5lF&B?5b)51x-C7~ZjR`G{g$qi-d(_VSBK&_DH%*VUegkA%%Q=5X$nO!7w1m@k@5LAM3kQALC}3*}r~# zexl#iT8p9;4#KCe1rxW%+I|Jz%)XHMI35)I>!iRFJa4X8{mIf7xH-1nVONIkHSEIJmlCe5sN2wVxSzC4e5GjqRU_P z%YM&WnnNda2SuOGe~rkR7KgX8rk$Vol=#q;@jB`QD_h;BooM84V_pWth~@c9e%87? zY@ad_umtgc4wC8tpp4x!ko^q9ZYay1o`@e)7bO^DIu;39E<`_2w3c^@ALzw@J3u>R zZCTA={tT-V61o`8GbB1ps)OQR$Lc--Wr|Yt1(4>fK5!v(zt-xCm|4AAFcdMDGIR_f z#;bi254dAkVRWKmPz?gq2>rCH5+{4qpxf#3qKI65k*a^1ajp@afEq32sbSGhZ#vJj z!Fw&wtm?G%)n>}Y_Bzvs+!%zzit8 zxm2BETm}ULeJU5nAZ+TIiJb7lRr*IpJ1aEWsSvdpjKY&7Jg1zaMcz9OOxgw3T^pmHe; zHZ#-a&a^_|UrRs{LbN+;!@;c+M~vo0lMk(oW;jZ?@b~a;6@D$xIYGCnXxRq+BNU@y z1WsTi;a#!;=9$_4GL`p5n`(Xs#C2csWg{GcXhZiEN9Cyc((qj5^is4SMviFjtQBLc zpG7&9DAhYV_^5ltP z5e)w!i&q!bcGj}p0P}vBm$;QRz3!Bo2R7*M8p?Ub7hKLLG2zty$h^MSd$V3g8mDq2 zI?5PHJYykrU6u?G3GN?OD&zbZjKv_|z452y?cF(Gpby_3);H^IzShPmG~#__foF;G z%-JL}90nwCpL_(H(dxTKf*E-#61x!N!8TrM{nI4ItkfMdV)Di)IBo)vUjAfSKNK#L zGVtPHvRqjIYURwxv*@tM;7;<(a|QchTJHP40faJI!sCKHkLf#1DL@3TK-FiPxEo($ z{V_*c%n|~X!3-*~NHNz(jK6W!M=~w`E)-JWZ2*#)1oeSswTd(X7=KrK_IK}6#&M|V z97)qwRU$WEQJnH%lWWB19Z=VVoj)13Z!BLNe_E%4p)*!Je`OX)mCPU^wQ7EZZKC^u zz5*%Wi|J=#kuv+-Z>I;L!fcW8;gb+Rr_r6gaui!9k-_k{0ng9DKA%H6uf`d*g)00& z1fXzy;8MISsY^mSq(;|Y?Y|Lrz_if6t`o=;<^n2h?sp96(mP*czGxiG?6H?u2`5lp zefxsdiFBbM(6vb~jIrS5VU^Muh=~eQXqC{AAe@j>!`*BV;QI z_kyNft0>p0k&{jQzFKgOik-PoHt|!B8Cc}cLvl0^z1p>y+*$}3EzOKOc**n#=6#sh z5T&$a;Y6VuiEtx(!S$+;pLNsKy>2_f_;;Exk2uXlZih<{FaVv5HDZ-H@0DGkcUa0d zo^X=Wa2dFmav=x)YSIE@@M*!iM{Fw1W(tv(qCS0pwft ze*JDz!w2Qdx2OT_e&^pP{6lDZE&=MxB3s*N$!9peMF+-`7^Om2&=?X^n`v_xSxhtT zX76DDYPpCgB9DAI~;ED0W;V)^TS-tjB1M4OLTj^%Zp)mXSS0@Q|%P2#x4QZ#kw(hv|zKD2PNL6ONn$DX?X z^+ZMC5I4J#Scfo?fFfk3VC&6YF$%d{`aa6#^oJM)P4Q)z5#9T*Re%^aYck-~Y#cYp zDjVK`&Fj`^+(6n|aCw`yh&xWOj=QDXMz!jT?HAh|{AY7aGMY9<-L|##yH{~YKQY#P z2lOhx^1>HVt;ci`!iu7j6|aix3Q#q_TL}pcR7SK{=n1U1y6;c?d1G*c;-Wh}?Q3Hr z9y~iE>6UN7wD@yuR(-P~LohIA9NW-WwWrJzE8ywv`&hBkr@Op4CZ)o6o-COv^iGu; zs&947fax6$+nve?+eqU3{pH_Q_p5S6#3qZ$a4Jz|np2*I@w}>CM+Wj4zDG8Zdp2*B z++PUCuSFxKBbu2Bq$**X$V&6ntCCd7{dP)hr@0z7*ysO_{)N^Q_NbqNmUP z{OejHnYM{4Rne3FvyznSK180#%uqsdd-5CPI$;{vTWo(8J|g*7t3T1KzR<2s55!vZ zLmtSR!Ik`*6FU)0l%4TXi_jK`dMR}N#5-wUEo=WF{h(YZmHE}K`2pl6q-v+;}dMH!4j~P&7Qcl}2 ziLWUqm+(_El#Y8A5^t2Lsf+qy6D3NQR_(~G)ABf;95G+MouUE=ro|BOD|rY)0iREJ z)wo~5<*(IVYVgw!`K_>&{bJ7QI9oZjZZm)7{8V#+GJJ~pgJN`Iyw};JtRR?hXo48i z099^RF7(^7N~+d^)xlU!#Eruzy9}7AGjk#C4-ic>md^WpOl`gY&_>tBH&-g=7m0nQ z3GpIr54iM&Lx!zSYEv)Z zAg(c%dYl>_0CGkkXUTx@u~2~(?1SD<)U8-=9ZR)Wcz-TmHkWfwDeV}3}P z>|h}3y&wo^bu~f=N-3z0T;zDsgozxw^H#9L42uxlkNl>6QFOihZPiMRs~hhy4gYov z^^VA}(S>^~Qk@c8rc2gL14{u(q9D^{us-8)k7Nz()Vz74JSmqRSvmZ4jH>!r9+O34 zfpyr9t#uR~wA92q&RM(0NrA=t1Xc@HI2w*E<>1zYcy{_w{}m(AU3@gYr@^F16azNU0se zsuufz8F_UBT8+8ajPGtn*A#Xb!+!&s3w;-0C&Uo2Bpl}O;{E(yiC@|S!Or86g??Fn zv-Ssd4xhc!Tv8$;%*9D!HrBG=Azr|sR*R~-Bi{L4WOaS@JxugYaT8;D8=?yC5lAnW zH32t43hr8B6u#7d<}$Lf1uL zVm3nF>ql>scGe!kAl;V@utwV0g`wFna|_ONXliUT(_BcyUFlWKQV%Cg%BcpI=z%;i zWi6F0lhZu&Ce~CciCvaJg5d;BJG2&rEMVW!A4dX0|8C;C(5T2FUe;-kEs5*ATq67Y zU1(44Vi;XIS~}B>t(C$X$6YkH*~FCn8aAs?jAd&nU_wj0z^wa43A^eQnI!#+??dBe zIH%lPG;KR@3ZSX47U&ZyTVMw82vq=fQ`DHeIpZ<`GPP2w0xa&O3?ys4$vw@XU+VFL zeEPd*4jhnB%w|apyb@ju7mjHD5Zc?ULpHdP`!Y-!pTCXF*UdGhpydV0t*qyr1lA40?p-f*O z`eU+>NjCeK*U3d+H7*fL#s*jq-I^Q|NA{gCmixN!L)>I24YPWTKEzG&#!_XM^x_l7 zrUPEeHqJFhz`DuM=qEAW$}FSs`;a+way`1tCp-$8NyHkO2hT-wrofROi-=3FVqW}- zLt<Kh(W(REdq-)A> zlSulWT1cmQ>s8cknH4}pe$wiDRnOR- zEU?tIRkEI9GKYokVp6m$oaJr5utVRle?!@tUPX)a6Bw`4P^g^EVif#+Zs&18AF-*u zRrhosRad8z80*q{zZ5H{6jT-toDGTDLgE&t*f-j&n*23dyZa8rBZ2lEe-pdvV9lfy z*YjpG<)29j+L`K2PZ5~g46&yL#JH7`#GYvuZ|CF{Hf-2+D*b}3(7~SjV)aSaZ%AE| z1p6vUGGrt<-+cG3n07!6tVDn?jvfqr<34uik@Ve&PI6bQin`&K#^~8vH$!vV3%t5EsoKG%IM23uCiUnM znP(l{EX+&y9L<=e7L!{3A(zq`ZC+4T)w~5U-1=k?jnc7qX9JN&ztfJ$Q|S-AP3lzf z!-W)gzRGDaT5JPt*GDq&3>&(l*Q!{;ctU|OBIUzOrAif&k`6w2xo7@?(Vk<@J_10ab-@})zhz1PAWZwvMcSE5mWi9z zY)R8D{wQgJDO=0&>=1*$m)Yd<$LSZlpJX{#k?5nDO)0rF|6he&XH-*J7flEqrARk` zNH5ZqUS;SlLr_2vq!{TP1VkWGqzH(#1Zkm5l_H%0BGP*kDJoSFP>LV~DHCu;kZ*?X zy>)*iYu$bJd-t4of1GpAp4EJ%IYH$6CLlAR-oJdTAf#r*PQd{#4~7+%^_}BN`BCiv z-K}=_#82nuTyB#vw9_{HAt>u~CPySRlFqIs4jz|V^9iT}ke5Nah%pUsznZFoB0!sZ zAv9|DUXJr_mbJ3npV9yhQK8RqrD4GK$!t6VU&mEk>L*g6`#911HAZuYjwq6H+>$Am z;tNA2u@$!mELh<@(N>f1NAT`6AaJ(_lkTyU-4D0?qlHsjgzGFyAUNkqjr%v-jCIRs zl1lXbk+dg#x|TEN>-mRuN!8BkiFfy;*j@+ic9#;HXv#0J_%0yCqruyukWH)i7`EL++xIC_UnfOQX+S0;!>7rQB#Gv?i ze{)Q}kU?LJ?7VfJp-yf6Ad_&@n@HIh!W~90IG^H$po!8N$fq@S?wN=T=6ec>x!OpQ zmy1Jpia*vG04*ZV?oZsNbZ)M^4!oyk)i7*bdH)vwv(?~1^->)?*RpUNLqzk6N70xt zDpWTfzOs}~b&FQ3?@|anPkIhX>}WBZX7XIpxg(FuTd z^f)d5y{^ono6FMlp0CaC@puc`^8@J+mZ9TKDx9Xx7dD3m!4>Wq;;vl_!_ylKksPbP=aBGRMy82Pkz!jQPHYP} zr0Et&lke&I8}wj*m?jlnRgOa`MYpDCP{NrL>6PiI$Cb4J0H6vvvGsR{xUjZd!YgG? zcXa>(RIY@{R!^!q$8AT}Ki~Wy^Hh7&sU3_FX;q4~tRpjiwjs0>;5+&T6P;a4oglZt zw)_E^^DP2~URQVEaT24&wq=M zyT6$g!bXJr9)jMzYke){d;fOi2Oi3pSq2NVVd_^Cw{g~CZT@-n@wz%AMicm^x51>? zs%DO}a4X9D3*;S`V*GK6)ZUWOOAI}4H|B(dSXrCW342bz>Pvcl)$1y>wpyf^8 z$4@w*xtPF#Xes<91sm>%1$KEnF!RAG*QGAVKxy8-k9#U>AJnTdOhgPL-g~dNebrVD z4e}XQW~06wAmGgp^S{jF$@d`mvR+Fqi?ON~rRDawZ9MdMwazu;55bPHP+xcsH49iW zys-^HtgJ`@Hz2oblI&!QFTi(m^7B*_OzKx9o{#cZR;)|akuut(B5s_FRxMwua91M< z#G|T+s_+>P-e#OD{0Q`J<8JD6`x9-^86enmH;WL>;psam7Qjl@(~@SL4;@}k3#T(~ zLZ#u&`_?Ht&EiJ{nI-Cef1y2R1(9l6@wVFFNL~(r%eE!Cb~vUEmsto0;Jza!iWc;z z0_OB~RE*UxGF>mPVNoM*RfZrsFE=apgJqQ%N*<;Y7oh%t=wh3D07$MqvBn2;FCf$V^>ao z!L@}(5T+`Xt!Eyou^oygXQdNpO~>Sy!!@`R7YNR1>)7Pt^-d3)@``AzNQ;24(s#Xr zK_q}a3KE_ElaIpe@^sGiOR#U9FJNi0s|g;1^Y>*$E7J3+8r+tW?6{{)sq-h7J^_9X zX%-uY(Xf8W7wsx3;ZTpDSMSpLl|DJ5zcIerIYxUBHMqKBQI__teY}{!7t_s!uNwm!wXMJDo+68P zKT^e?(?scibIZb*~cv zK>t3Y@qR~nPx8$x2mM+lSGUvXo;(70e3dAh4^iNUPkneG@RUXRz3lb4SBDN9k@;Bz zdkW0&1sI1gaKKO3^PD(_b5Kfq3e2%m1#G3laW?+%kD*}e7ES><+{Xa`WGM)j62!a( zLdtJ}&cjcc@M{Ohx*o-ER_nKZSv7Gob1}2$_q4Y=)%f9ti4FE;Y$-AMu@Hn*_?2Le zz_8B9Z{zBC`hy6qT}#HrzQkPYAU%dv;TMi9!o{WeuP@FxE-r&b^X`!~SGT+7{O(q; zlhpC>52B44d(UB2p&9l;0Dgdyu(G0y#|>R|1vgP0WnN89W%X;~PNL>YYGxW@SFBwG SH59bnEblnmsOsx!$@~S9c=AU8 delta 36873 zcmZs?V{~Rgvj+Ocwr$(CjfsEn??a2f;-#KfYb+N*06+u$ezj@^?t`8yfdT-2PyhfF00v-c;=t%`Yoo3P z0RR)BRxH zQ>5y?29|pE;?q%DYJt6T)ylFOPhU#kek88HdrjF@-$F76{5@`|>KFQ39+k&%F37Ak zt5?BuXZU=R*~oH+LH)%qy5%q>F&IyUFl=*4&YPEO>Q-G%>H|Vq4%b9^0w5<`M*~8R zf^0@COD043f$o0Bu)co&TZYUujy0m(l4`@6x_J1r5g9a^NXNf zIf*6lQd+NerQCcrTBY86?YYobCmA+C>}{TDi%tFnsCf$YJNciMW*XK}#EyFDxP!1k zy_h30QVkT>iCx#hWZqv0)4&5ee9b%bUsmY~@=M}PN0mnJwb)8-3P<%Y`PavBd{%uH z~yTQ}OWL@{)x0{pdQ5TB{nuwW`dG!0Jhy>$Jby zZkKfk&~$(=DhmRpKG2q$?H#6??bq|G9p1Ai#7~g_Jp&WNK*>Qxs1s{I2|)dRB~Ja9 z7We=f`Tz>j`Tz>*NBC_{bs_mLduk>LC>Qj9rtS3}8A9qh2`K*ey{WGxp!vWFTdfwc zhtAy4)`z}DS|3~?cuAu%S}JZ?m4tEKmug+!yo3Pt57w&HtqFn?T`kg-~Ln8+y+A@#=gKzL-N?-Q) zWzp!?dJ60lHd>L~$V4N-b}u1?>Dpj48;zJ#g&o|$@&)ih3gP`+>ZBN41iRCbj+K_T zEH8IvMi%az-jT&WO{={~@2U*lx!|bwt`gOAs!O=~Ix)NnW(vgPO_YJ5;Wk9_l*@lz zdWIQOm0tXxYPM_*Tr=oXN7sh?3OV3X67P)1uFKR~w}fu=o=nQ6?f7*eA8G@Q3@Kts zSE5I?1uFS*X97t+>~1=~?mA|=r^lRa^vf2K$GLG?YeM8D#D*8*%1K%8AU^ua->O{J zwhJnl*wwbgm8nQ=o8^IW3{K%;fVXhHxs3u}{bV{&TF(_*TNSYzW(1HhL5@sa@yB{V z!Dw_AgQ#Zp~3oH_}jwH z>Aw?axB1U(QG~3l5K{4r>~Ssg3}WMlTfsD@+$w{aKRs_J*>)RLKjl+SY#+5-RVp>P zpUgBb(Wo}Q#66gEkw}B51J?|3wO&-XmP&)0lk|OEW3HHr!6pQ>xVrEsx$MaI?ijPc zxhjWxMhbTxh`)eg_w=E$jnHP{okk}3373R6@knWkMJxPY5?D?3kZeRaA@P?sW4z~= zbM7r#h@?9Wiv3ITME?*U>OF2b=zIow#=w>Za_dNi2{(^AzM$gtdAAgWemGBU^fcOT z)HeztmZ^qS9W2M3y9i>q(ZppywL;S@qxdq?SbPn0QmFvTd7H^7!WVMqSXpSWsE!13 zlYVUU@Kxq!+a`}rxUke-t1ppTY7!1A?HJz{d(2OBr&0O*$~rM-?#cx(OvI{k_;XB9 zjzNgVa`n(Xj2~mx9zxhN2RJw~^9t6(M;r&% zRweh|Q|!+-=h4}>mACJ-I`5q)4`k|^A5|c!TUf?Eu`4m9#o6Z%%|9H&U8hMuR_j7I zWg5p9s;uWWl?$V0$$`-7_ZC#nzxcN@Ft-r?zH@E>voFwp<`h&GyjJvM9%=6VRablG zRAY8KFIT~%wf1DrI6P(}fb_Ha9Mw<+rLox?)=D~lk>7L zS9$+fAw`c#!by2ku0N0 z4&mpbe*|-w_4DVna|L3_dJ;3l5)<@63u+LDXbn3ErXxj$I2a=_(y*>DA$+SJKejE1 z2lFcEy<5~%yK{1&PAmyD^;jHwHPfpR%{{>0F(O$s zMOVKy<>zJ;PM2EzbHlM_cXijKfO zqP}5{@^_W;X`GQ6+b@XvguX&-Iy_!h;0SCm1C9pgiaIhK4$L>ok@y=mnq*}5pz)0| z%KkMivduP2(60YlqP~S>qzaWoQ4N z=+C459gqGhhjOU(yQwvcY*9dWG95KkHTx2nQQz|BO9`)%`6DZ!@UnvwE(@1;1thL! zBSfURb?+Z2CyuI>#XQ{Gklqg5QN?+AWif>Cf0P_nPWJmMdg^VQdth{`>+W%Caqgfp z48c@!Y8cv}QVGJSwRhkNdFd1m#m^8Kas}+@C%51UkQy1nHnQd^^yktIRxDyv%*)*8 z;0@rzihi^|Qver0=m?E|lWgkfP;eEUtN2~z===$2TRF7UczF)o4)}59y4ZqA|7Gw; zk|pkQ!v^rOnF;wI4{}q|d>LC~(lO`q{BY*pf_V%IQ9EU6of5ky_whwr8=^%r0U6NA z5#m7D4@9&;S;{a3gotxwc>puIOW7?zL}w3#)dg<@>cIdwDky3&F({aTV>c*hI2%xq zrRN{lG)v1+g_JL3QGhg-#9e^&*9JlyDGFH_G%ROW`lyeP%CNk2^*3MGDbgEJ_DAb} zqVOs~n>s@>sapu%QV@eamd4rs?_k@rd&DNLG~3@wshZVXyUuGE2aVwZKOZA+sZf7r z>&<`8j+t!&(dPf1PpGK-f{}!@mzCWAA?A7uegxezNKHGxJP+)F_SKbeI_MiDsyWu2 z=wp?BQ3Tv@wEnQM>~rk&T&Ur^$oa*`Fnb)=fIm`6a0Ch6Cg)R3&d2EYl99>GS%S`` zDii$fvUR!>t18>D2I^kh{$(sC>sXQVvl>-1AMxM=Nc|0G{|}s#vk0AuM(8e=s2F`- zk@yMpUcXBtgPxj26ZWs^pN-4rb%w7B;Jm$o8EpplNQ()d{jtiG9p9W%>U-19WZ}u& zQ0AQ_Y8>6aDMNPBg6B*b@^kTm$fYTkwcI!J{RF5wbFTXRSyutR6H$LXiT_&zqKy)2#ZQXYHci z(^4MoQWg8fs8LE=2~pS5tNsih=cErhr+Gh~wIT%R(d(wJmAbQ?#>1QG(GL7ItWLSu zOVwSk@Qh1Ze7+;XuBp1;>&KTBip{`toO-$Sqo8bkyiG4bzK(EoxDjHJMprjkW>-a7 z<+H5XHgeU5`dZZnS%a-Ojxj{b-vKonmT60ANqHkEkFJu)YbQu(>@l24hRI}FTPS^H z$!ey9MQM@C+yNXIA}@cz3-`5%GZGp-V6|$e<14>x4sr3@Ln1ay^cHyNglB=BjPfBS z`xmwdT{cCvDi1M}RjKg5$q!rDi|!6a0kt`WHWe8_+pJM;gbGE#w=_LY;#8SOs*&dV$Po3zD%E6ML zI>KfbCctzlin_Wmcg89zHPnC<8P*aCh2zOW7&tam{I$kQ7 zx=AdO_S@%K6b%A9^29xBl?&>yHOs|eWYoe@J=DSz+VsT3+XHK(l2(zEq;D`}ll+FI zMe}|OxWGS}uKjr#)4qkK3^!5{lR0ETY(Nggk=4jxmI`z>)!WO_OSnpWuKzeXWMwP# zJSb`t$JXHZh!*D8s?tVUUZPC*!)TMCG_1BufKjupF%t#CTMi1z9#8gL&4f*u|sf3ko0!yhhJK28tYzFeIJzyYo;UqG@LNbCU}D^B>tElpU*Y{*iE zIZAK7dC_@0Ry8BRzw@KlSa~sk9?}XuLMKj=#Dgtbbjh?tfhg^G*V zE;#}3AOqd{Ag?*poUr6Bc70e)aOVk94lM}f16VQ}8hGE^ffb-6qAhLx1B|P{#rzyp zqC!y(A>f<@(ULIZSokL-?Q|TRWd@Rq%hXsNLc8BzM+|(>X$aVr>Nwi`4wyXp4A}js z3Vk*t`KJy>zm9BEa;Tx|GuE_Ec)j8GS9nB_6V{Ssz91Nm?-xk3b}mG*RvpbIc?l&& z0w(p8z@@dtk6--<>KCJZ>oYe0&vo8>FE_nO_tm-Ss$WRC#UMxG)Obm}}1;DBo@m$5S zAu)&$!Syabp?d_j4lh^fqA~fRqnHb*AU>75O=HUxVv9OWt`VRXAAyI&W$M>RrVeA6 z%wy(PzVE*W0%It%qXxP9`iSxA4f7WGDYe3&LsYs~wq@JEcl(&E7O{zw)6JUY?ez?U z6Rnf2Y2rF0Nb;9p`;uM$FAEbk_~&WD-RVCAx`Vl!>}~b0q7B}5n-39h0JkTQJQabb zfqd-v{l|mYO@*ji(`nJiv8ff8qbID3ynehPZ?Ha9pQTaq=vSP+myYKaIL$gMJ6ZBy zTGuSuh3S;nmVitL30TXP(NwQNh9@8RlbznDu{h0@3k1!s>7FxFcPA-|BSL;GxR2t_ za{`6KC~D75o5!1MB|@@`{BU?+H}N`rAvOd$GREntOe3Gv2o^=p$TX9=v{idS>}f~W zDGCi7u{Y@}>TaTIJ|LHV&x_$!22aFKNn^MR^R;M*xR>e|i$16K=jAoFAkeQB_cNBE z<2`-j*R6~9S8=bBk5FLk!9TuWC7t6}M1*@z5}E7Fzhf9$ihsm{?9GUQ_AcE$l%*d& z>wY01&i8+h&xLrBq{+Xm+WQ*JYpWO{!OWrBv4njx*y#^vAt?GyIdYJhm->V|z`E~Bs)%M9nDQ_G~Km54JGSX7pz0>&v<;S6IdntRMN1IHm zT%;Uo&`)BlSz#IeP6?JAnOEl)^!<|JzzLQ-0fS~5P;FlJpWCJIJmB=8KoN2@JqVlO zD;m3LLA4$R^_{A#l_06_PWlN7Kk3VWF)F+fh!fas`3Mggp2-SHYhad1Qm0%-N%#8~ zj_GLh##GLZ_m15s(8=Y4nCfx(rkO@|Pt~q3$j?Ot}kwcW6qAh!%cW%!3VW)?D%53Q(B)p$UC9l&Qua4%-hx z%}lktT{SyhpAPHYla?JVx*iwZE+2(K6}@){W;eOMl>-!3!2hr!0e|;z?H;+^d2NCR zFpOHby|KSpm_4H&9}n!kd#OHly_u#h0UiPSe_Q$su_uG?O4-jnRrdZs znbA%3YUdZ3vn5!(WE=CA!x@3Dxa%Ehzp!1Rp8=c(fb_00*J7vb_H zCzFl)z+n$lJHBhQvDqYctnT{bZp1V?+kwl>L1uG2P|%TH22+;jZmIO@R&4(oH^CLu zlzAc&h21Qt`~R?JtyQrYAP*mW57~A0FF;!hD^bj1=-##J^ z05B+K;$s|kHY8MN99?3zwN&=mv*WgR%5zo{Pj@?F13Om zuY7nlC$r3}8~^0g@U_dyG8o%w26aRsrtPlWw zb!G`%!}L#M06$MzrE^_y3W5ZMijHe9VwkfpV(Og4ok#Ge&SH3u!p>tn*5?Qw#_P@a zvO4vwQP;GV$erp6WFEcOn16b)UW9|bZo%%7L)dR_th=lpBx1Id1xkkz_Gf8ZONoNbuq1h`u@oIM24 ztKKkUS~_DVW)ch!mfD&()+G<>s|lO&NlK`T(kz8UR2<4yC}60c`K#$3@+5A$ITswZ3F|F1$$^{ zL;N^os#K1c9;eMJ_`#pu(omI0-=w0_tHbi%{r)u7ewjC5(0q zm1?8+r<0u?&4VT8in54Ge)W*Od5Ys8t!7dIm_IX%#sKAsMPbU_OSA^^fNH>^YAlp@(bijaFes> zGq6#!sBUmk3*ey;kXA9;yFsFtXs^N`h_F%fv_fdB`L={lZ3qW&R}U4v7zDQ&y`Wut zg8o0(4_W+wdG?|BgA+Ue!+HfN++_`u_3z?(>39!e{NW-V8hR-{ zv&f0UOwo5gK={Zjb)+dBjJsTW3b+ScW%_x2v3ALPS2B9(pZP7_95DF6z58>>Kwk}_ z2f%}Fy(hxu7=^dI@;jD3Ha) zN5J31cEW_wrMSp|P|Xs#$R@pTZvn(0%W>dK+0bts49wA{&l6QtVbQv@cFyfGhM5i0 zOuIZCXz18t$Lg#5&cTy~qSA7Wy&ykHSN$|h3)KzrUn-?0ao)nb{KqMa9n1h1(%G=O zWa~vb4)R#8!*32x9mV$@PT6fm#e?hllzUo;?gZZ+y@dS6-X?BO74eq z3xSF>4K|o)k6c6o0MC=6*J+`UEg;uPqE2j}*Hy$HQ5GpwFtYG)c@}Z$3jk+=c!H2B=z}C_^)930-`Eb{Y@X7t#(~3UL4vl7s5)WbA1Ydin z^~~2!qQOToU+PY1_d?Fs2hjb)+ZWh#f$s>r{SWv_u)RY!a&pfzvzj=A;BKyKd3`sD z_>m7{(Vlnc(9=`%kLR_2wJ(k}0AbrC^ksd|m9KLK@uGL*0r-Lc5#ud*(?YbS>u~=U z5t}ymrl>wwGWCyA%$d_efHT?|q+kW1@IytX*__`xy#(rr4uzroD7(l+J1cR z(L})Q4rJVcDTHeYAaUoCx&YapsEM>AS_iZIH%B-q`u^U-%wPeu*vLfM{{3>=cyTfs z`#ku&h&?%ntVLo81hRbL=$N#8fs)1Llbk9_uo&(rX?4H%4`~M$7D`Cr za}iB0@eH>I!zcs4j7kAgY z&BP!wsV4niU8B zN`aPl@;1R`&(QtYfBvgB={l)YshI^Pix>t!is(}d-+3d38h9{58VcP>1h_%?f)cT) z-5Eg&h&stFbl7qlb%4rEy}!_6{yqITFEjl(v~)B?!K6Kr%y%UFv`9GZY{0w_P~}e{ zW2%B$r~ezS3Tp%O0;9F}4P9%u(AB*ck%&$6HO{SO5<@U;6uR^W5qq32 zAXu5DaIP?@IuIeKy?-(ho~=<g&1mz80n7} zgNSG2WdBpBYt;QHNVXW|A_DAN_asG){@ZAq#?dTNi8V zcYvPr$Y7iJA!_`DI3rrS=pk%8u<{x@nuMjM{eV5fSkH~h@)d-!}@7e?R zvovKB6@jRIOrlNZFh#dSC0_;OfbJSS8jk(lBxTDAmyO+g$bCDzkVVPFe^>%{fc@Qy z{|3`i57i|2pvoZ&Bc`$cNqdi*4&UOxboCcj1YnkWOrtDT-%F$zm zdm48{`+)lwzD4x6p2ZRKd!tKsfarvCq%rzR_XU6Z1-?c0LDlap zmzc)szyDqD>6h-sHugDka!*4ZtnYgNryf}ox8_;D9a%f%&cwG!Jg{*R{H}it!-UO? z=@|vT>H;Ugp~4thK`;&B$C|O*x|m{VFWYLYyR3)P7*pgZj1?Fx29 zDQque;J3$c7RlU6;R6$b^*Vuneq-sKoa-4JxU*N<9b#lqTwD7)&VKfl65jqye;~fL zuq*Nzp~-$9aD(1CM6(6<$+_+}+a38VxNe{CgLDPfbW`{NxDsn3D)``Bmx|hFfoC!i z0)l=LG!YekFvk7`4@uSBA-ng%&7FS*iS8-ALt^(mjb2Xql7jmB7)5Dg_)_wJI_Q0q zG5q*#_#tQLgQ=zDO-CaBZY8^ifk9evq#QPS!%Jd3`=_%qV^KOD$s;tu3OW!O0AJwY zEzJi*9_p|y#v)7QEiFN_rJOYnW2npv0_=@GClm1mr0a{nVJjvIhKMDLo@M|bzp#3D zzd*6y4FLCF06)z6s2_QuGmJjy^P)_HVpzSJj#9n%5C zkH$KuL++=b*!ggVL5zMV9RSHwP<94q0dHdQRZ$_M(9FrVfh9smzAOH1FS79iAcx_- z`y&`(579@H zkGDU=_@iB`yhw-s1S`=68d9s+^azWsFh`fQRinwhu|Q|Yu3GERQmWZocY(p&Ql%+; zRCk6!Tb$&e{0a=ynv<(HjxrwN9*Tg8z(@?y$}dxkQYq(FDmKoN9Rg2|kfFt($W&#K zEH04$UnUG%j3r8Hj4d@<&vLa#m2wB%3N`9cbXeTrz%qq&;|SIvaRrmzsv zq9S?9A-ws-3e@MQOOqXg=q}+hr>OfKAwYJL#K|&6=}{K{eM?CH0xlBeM+)$WPjr9L zKkhoXLtl(EoyNElW?a9}ORYEQ_b~cP`w5iyR6()7cLM(rKRphm_E|;x@gb`V#TsMw z(u0jwQ0zHq!&7EZ8?nJzFfH*Yw&s-&dG9R3vD zCl&Lp{r~Srzau6o*f@xLds`@_=s7|ew0o7ktNIzyJt+?waFo*G0t)6 zo%;e8DPk;T@EEKHxLgaG_G~29{q}aU<`Os!FGZ~eteToY3Mp)Dl-7}1%`5QO)VR#c z?Iu;WxTRc7a?XbX6t>ceJL-j94)B+vJ^rix81+0v&l_J&melr9b^WxkX->&%0OUd= zdV$0A+cv4Zo>tn#@=vWO+EE%0aajbo7#*p`RiVbksIpbISZp^6C9&&J02=!0Lm;&+ z@yi+?tK3nIZPSb7VUp1yYK6jKk^(&DZ&2@C3mACJ{RAwN*lyXrRa_TgSZ{~CKbgds z^qc@Lg+ma>rTF^PAlhAKIEUeK-6A;@f*{yfRz=LBbE;B)aJ-7IdLzp1G5=lpx92|e9pbp7)7zExd||-(PS2c*JH9aR-hyyKa1EG{Pl9b%zqsW|?U} zz_6G&DE1jCfU?Ns9B`|aV+CE<5%>Jjf&Arb)%)(7Z|~hR%hhdgdhidU-QJjYYR`Ey zA5uQ_6c2HZ3%>v<6vfJja%xZhe;aerG0hk7r-uowfxXUQ-FwJB10-)V9aXa!qp(7@ zW3cyk1f+DPSSX8_H?d(b;D{37*xD3emDuqT#M0xiPco2e*uD-9K7)e&C)wb+P!coa zCr0cEGkh?DH$yL5*K|b}E2EP)gZ$(r~e72Bt8+6MFc1?F2mBnw%*hJ{qf> zn&zB>=A4q|oQ&qYHJ+45^_laey_IL8Yf9$YRxyq&n!=UiBiH#agp!T9E8dIM%1ERd zERCumC@j2)Z8ltV@$Y;I2NDTVHA<8WX%bPVD2IHOKsXvZIYrYyH*dC0AaFp{&1m$` zM(EE%`1`^(o_31$-|Y2kt0}8Cm)ra1CW%%v_Cm_;LzYSuMFL*kNlhb=7JJmG3*6&J zuY&1-;s38dHvCSavr6nj&8-3qn?f*C()qDygG7zN8p>;c&Fm8tXf9X|Epb=R`pMAE za#;d{ucfRYVx;X3zc~O8hHF)@61hi&)D9w-aI&1I>MQ|<@dhvINLgjfCGYWlZ>tt3 z!zOCEMrybyN!1k37AO2T014x7az-|CMmTcD6KTEU!a=i;!6W?e3DNzMNgmYHHTXe^ zd{NDfjS&@vT{_zAs?D&3WQsTph>`-Ee;hzs5oNV;#prcdzV^PY^kPcnq&0An^fhhp zBOxJm_n}YfXSLTX3%gYQ6RSWx31u6HK18hFl3N}ESK&<;BqYco#D4Yp|1Ec^l@QFI zPR)Qkf;WE7w{UiW_r?J(8;2k?`jV~9X6&AJIWS5p;hna2IG;e2yss*@06pMEdOg87 zbj!nJn(PU5UkfCBPlA%26giJ*f|RKdLbb)P;o^wYyQ9t^xTJH^Q0qPm)SCTd0hU{yd{|N#Ro89(I}r4^`gJ)76Bw9f#^-r{+v0GG;vO& z6-zYjk`ol#HN7gB4rNyK!o@!T!EXlnubO2H>S*J>!5IemyP9Rs>Qkx)OH~iawqB&w z{cfz9ub~+ldzIBmFI2c1+jQku$>`6r6`m9nT?wB0GAy-437X-opOs%Fw-Fy*A?3G< z71B7ls2pKb5||TWuR7B34PAds03sADt8*dSC1I z#pZVI&Gar#SDve$J29+w>ndyKd(|5K9Cj-zA&~kbUA*JKGGCMC>#3`y4&Sr$l}6uq zylU@{gq2#C+|#dq(pG`5(4F_#mitiNKdG84_6$s(7}wcOYZwI!>Tuke zD6{|GJzxLQe9dC>T8POlo5=kDv7W4CWw5MS$b35i_Aa`deEq)yFJ&qcWr;A~@unbJ z008cP#haW=js7Rxbfu&F8&3vf`z}Obvzz>kyS_Tev`iMWOf(heXSOkjc0(s+Ly@#o zLN!FmFq>`pTKk+pLss!C0{o}k$1X^P9~P|j7l_h3gg%b|*+gMxGooTW<35(m zR%y>?_isgDt{~e{!}W#EkeN=SUX_;JS6ZD7X)vbGN+N@keAOzJt#g;rpJT;pbX_U8 z%EiA`^4wS4>n+82xda_lK_k8|fa?2|n4N0n$VKN&hj+22ydsD8NA|xFI*U9q-FYJ& zXOv4x8H!~xXq<|KZVGf`>G795i^~Ydl&zUVKGn@Y&5i17%YQ?vjX40kPB<-C#%>sm zKbv6y0We~W8$IMQHKDR*w+x+TeR`?hX8?V)oIUh1W3-4FBYg9N9^KLl-jMmCCPq_U z$t}`!C~1_AodtTe7Go=7i&Ffppz`?s)`KG@wfZxyOSQLhRZ7Lvd?R#qtba;C>r7~- zB;6!1tdSa)oPrCtrI$F#HyxdDuKMF zy3|M^WFKxzm!i$if2R8pd>^Rgxcx|fCyvH^wpd)u5kK#c^aH-ajI}NatTc$76d@bYF*nW(|*H z;YwTiNUW(BVA!+Hk{=5{SXw7CZu{I*1$NWBymOdEAbhK0lnrO^U2;bvPN!9N7V~BR zlA)M$F)?i#gTD%h+O%aU+U>kBSt1SRPztS`opqyzeQEOl@TK^kvTjkC&))VX+CF&D zxklBb>LMVp?<+j|7aX_TLwNrHetO8rcxuG12tzhXYi(t7sDx^4XV)wVCzaugzl4gYzVCVj@ewrTA6h^MQ3vl3i-4Nz84rV z6n|A~txM{kIQ48i@xu#yb-`n3{S$Rcnd+65h8^@JDY}w}bMUIEx=AhtTqI%jJ{6b% zzCHdJdE&T62_#f8{?v*=y0*3LCOI7Rrn}P@Ce*WW+FVFynxK;Wd`QY*tx}{+j-X@h z4oty@apr_(yKPn@#Tcda==!0ZX^{hCgLx2h?H$Q`+?##uo( z1=JADBhteGMLC5@p-N_;?*QJ+Bm@&3KI%{D;ABR7HG zDBZnS3g;m=4W`7Udd0=>x>BIVn?3sO?7jYK7grqHf0}auZYrb6wlJe0)Q@6C4xY&k zeL@b^lTfpG%;XC$F}$@SY9@f~5u&0{srSk4*{wK*+hEFGO{Kea7tL_+XpX^$2IQQzpo^Xt6iYdAqi;DbKlz)?jehUra+#OVtk>U?WX{XpG8m+Fm zM)Bpq2ybM|akS49|Jb2Jskaiw0F&D&>DGG@?u{Bbs?0w%kUP~tM!&L=qAzSty;ToU z;C0I71(r+(iF=FDqX7FNSyTW1tX>L6T3c2EHKDf+FHhOXka_B>w$L7;;?jsflt0D%+DKs+BEvi(oMY}#!dML*IVK>v z`3R_%dW;>}7wq85_e^tP=E-;FhFL>1-J!p(vnTf&e(_;9dxEy*?ka>tyAIxGCR`=q z0dh-NRE8Eh7zQNaQh~wnT=ho5cm@zEv{`qo1uc}Mi3~-8+exE@kf9JE0>NPSEYqkq zK`2eyS&i;ZOQz$q-W*zHrNdbJ?Na7Z_^I_pm?a~y#O<>tlo`--q)o|!sh)LVs#mw; zk6DaW2i$Pb&o?aW1IrdrRubDVU_Ii&mFhGuz!7R)aWBtOF*arUQz8jMOEN9z9@+QP za5vc}&iIoOe`sz?$uST45KIo4QYJH)LMGN@G%b2>&u0X^(C2>rpG2ZmJ1tPs@55xF z30k1730hzf$}s;qXQoV71+$f!R|ZD#Ujf%-ZLhdYb&Q!QyM#oh+RV(YXFDr7*d)f{ zSOwP&JBWxiepj)^u>{kJl#apTXlFB9IX4fOb6O>oCg=i)#Q?Gxf?<&%sQa{OzkTZI zMU@eiueyD-+z%)mXYiS>lYg8$2z9g5ob5e30x$2^0xwx!J>;4a{5e1YvKF9%{EYm~ zA4Y9E{miPXY~ES<jtHgZZc0~HlD%{R?d#YsBY9#$5y|6?hq3{&M#Kv%G+h_n%^HyEGIx~wNs$NmP1eZ(j zAn~LkT{Uc)kt#JDM)Lz?6qFHJHkhy$@3l{e@AF(msJ%ZIiUmQwsRdw(`43QrJ@zdf0PoOfS+^L6;-6;LcaYcl9t} z%(yi+<{>V??WfpMYv8Z&bzv_g`bWw4Q+pvv;15JW&InhjJ2rq*E;Fj9XyMD_xQIIl zG$>piSAx;k2v7*{(SE*qzi?8^8_9HQQ&M{Uka;fI&aiFfRd8*U4d6L{={2&1GPI2; z0?j(7jg-+${lt-5V2MxaEPQaI!w53b0j6L@6C4E#+~alL;o zAB-A+V4#$k(;LpC{Lia`W<2@P=f0Zhoxb=2t0j*&+Mo~TdU@R@LZq(m%O0rDyeUZq|n zSn2SLrhjxs6<^T<24HUl_9RZs}Wn#bv2&5V{@mnDI8W*n@r7)H^HtUQ;C4)T3^ z!~R%&%117s6|$a9DpObu#aHX(ARK{(zl&;O5GF(JqH+wdhwnyJ{zgB*4j_VBC$O}E zvyJ62gb+ibkp?kXfRL=xfDXx;7~6m|Mal)MGc+A{$n`Dj?HmYmK*3Q46A_$=U9hs< z2XnJej*CWrwvJT zDFrp8TdUhE*W(A#1=$y+j(a!8fEC{2Hg5u+amNP7ZhqR1RF|%HxxUn zGvEv6WmnRubR!K~1tiwILA`U;&Vp76#-(rMZZ1^Se;zlJq%9Ce2qV$&+chgjmzh}M zY>wT&xBRM&kP_`yA?kbCLxFK);fKin^F2U2%~nq{OBnBjaPG0T)8tzkO3&HOuyK2Q zj36<2XN~x`+?N;O*4f53u8t?8nCs{P!M%R2@phvpd1q4!?CHk#fzz?osoIGa!QE|i0&Mv+7qRZq-xQP#k7vO+W z7wUaL57F_-*Yo{eb!X_#-YtcP23T4qr&g>S}L>)mQxM zBZOv-h&fdwv~Va3vcgMd%fiK-A1L2b!D+JCf>Z5%x-1prYeYwM47Bi$_=>qp#rfhm zz`Fn7pZXeqjr5%2Vj(;X#rfLKq;1uZLCCFsPpK{IBvjliNv<8lu94`n_0Dp;pI8hC z2HT}%v5aDW+iQt7B)xC2|ju)FAY$?d}(L+k(< zLS@Ar)=KY43k>rnB45WxD~?6j-gu_&*F!Mf4~N&xQ+jYI{jW6!BpY=U_`b2Pk6m*c zwzH=L-p+=BjR}WG)r%=4ASRQ=R+e#S;@ykqzty~xt!)$~Knwwgc+Aez14R5^O6o&XJQ}qnnAEx_H3-o$BOQC6@!S;o%2q6p@2g2XW@`}^E2~0!kiqHRNbK-XMRzdt%ECGG|KaMJqBIG%F1xy{F59-d zY}>YNb-BK>ZChRb%C>FWwmE(8%$haxKjev6`($LU$fr2iJ0%G`5HubbOFCy={kJq2 zgzdBc=*aOsoE>jZ;aokjyR5HYrEkH4R|$x-QV(dstn7w{=FYn*)Gjgst%Nvfq;J%G}$FsfA+Lf>LSLo zw*}h8!qM{M1h)F&u7j;d6n*wPsb#Ry*1HEs68VeD#$oRd@Tr9n)H%`MS?pNXdWBsV~&)(=GU26qE z9s$BXvclIx0Kk{0$EQ_6Sp^A?i`$1w*`Zr-C7kppBwsCHy1HwW)YmG10M$SJC}&mo zQ$qCe4Hd85yjlf4N#H3j317t31c5l>Y_4R4l`NK*QC6)j#D+#x^nyk54>@mV7-ixD zi((DCQLguyW^FTxk$C_dVu7>5&w{D2Yz*eG5ey)U0zfN>64W#d(KH7Rr65sF4DprZ z&(UZZuUu{{vlvSuZZfVSp;2xE-SFvV%4X2XM6$GnXp*%P z6>-=~J000;(MK}xg7_*~DpG+=YUwJ>8&Ar(CGKIFj-fWPmSotSUXEOtlM1;lLy+3+ zL|NSd7QjSukz|@wx*XagrIR=t=*0SW-j;BhT;w@KCvkyW?2*{(-}+9TP}CF5Bx@va zlBZeHX)=-0EFJUbQyj5s3X#&T4Zo3y6^yVAiLhU;tKwmDmg!1Rx!4~!8%=PorTw}m(IE$;N=lR%h0%YRxr2C zZGGxf@9bU0GrzO=8x2scV|p{zxVJpYGSgfRD~i&rM?R~z>HhY<3%(A2XA|fK_{~1X z3UO`mG`a{;lT!AQ#6x2vXzN+6+5IJu_|8R#@JuY+y>~F*L1OWDTlwvsQ#gZ9oM_Wh z)Ay6?i1K-`a%SS;aP?>+>dDZ5QFG+4K7&hP#xb8w8QCo%+d`_>hBW+xaJ281U^K{8 z=@9u;8898PHlKX5>id5;qW=27k}=`?4EoHeosFP4|BZaqTJHpa?sEAc^-b$un+xcj#p1X|ZWA=68`T0HP`Ze3x_c&)*Cnfn1ie%TDudjY~7czaGSeejxz)5a? zTuDO1`6Gf}qmOf%fp!`(89kZ7-A?1wdxwUE#J1dgUx$aoUZrhetKnul?Rty~xU1`G zus7MR@K3hy{F*{Zq(9eavl-osM6cp-8x01;SEuVTHJrU|L{(Q~0Wsq*E%0mH-S4K=b*tM(!s1?X7yB&I?!9<=XTZa=DZeGIC(qoPslFRp&JV zdh;h8u`p*sGYPRU5kwY);^3||PAB(Jb<_OLz;_v3t$pa|S8kVJir+_PGb!XmM>9+0 z`Rn=CJo!w{pPp^Q?1^q6$vaBKG-;Sm;pEkW|y`^zgr-an{;+j#m9`n#r<>=F?7wYc<&ykcMhq=!THk zwoW<8GpZZKHpVWk8%I5<1UDy8zW{I9pqT-FT4H9&R5#ZiIgLNe^sI>%VSm1e(pjwc>1QijuG(hf zt@GGVx$TpjCozAtS@#9=k7bNMxQ74;#KHkNr~0Bt3KI!Nu#qURV_k;!?~l1W=f&qS z@YlC$V(W+sjnpdJYOZQ#3=9^w&?*}igte%=x8AjAoxh4)?}qz#0Z&gi!{ly3>y0zm z@WMagwHRElpjrBT)qGCRWvX~eu<&s>7iKJ5kZo8w0 zX{!?4F^35f%!ohS?XO!Sm$SQ5o6b*D1U%-`bKL4zy7l&fDQg}k!kT-GXAqw#H`6`c zKem5#L3_itXg8m%1Gvo5KP#q23%rUtF_wCmSWmcn-26X#p8c*tp9|q*F31CY!{%cB zApIcyLly4r>zYGN`T0|xDC(MX3%-zcei-2=GeMeO8qe|3)5X}=h{}(xl@{GKG)#}+ z=ZKyk`yKmZ_yHh)fiV2!2mJzl;k-e{c*8NK+dwfS+`}Y2 zO)$5Cll&+?R4dL^^lwTL-FO?!LV4wRs+H_oTLZ^|y7q5M>(C-*+RHE-P8iqJ%67r> z_To;(rA;u`SU_dFW_h!OS4Bhfs9razwSDSXYH=rM%B*D6SJJFGrmXqzz*!lwT+@Zo zvPi`!MfO6?C^HtW)q%(!GcjjOpO{%4u~KHP=vf}IQWmbjS#L2|OgfW>uvsH9^9&bs zbMoxT(IV#{PW80=tV#0E^fC^8R0hN&q4+`M#1xp zkndV&@&s8dmHACttOD~Bt#C1FiSf+P1*@^_4QaZHQw)L+VEw_j67F zV#KKa5imNLB20yV>PM{bb4&od?B}Ndd>JTc4rjSQI*Nh! z2LPk)6{!pUGD*!#A;ll&?!*OA=k7#gy=QJqO>&9~5*;&>+bnwJI(LYH>3ia$_@v7u zS3SWj?I!odNV?ILFQCSFxUnu~uE|Zot}`>2JaId29Zlb|Pm1zftGwBWdqOzeaGNYs z^EwC0X31&`s)MwthD-SfcB)D)l=EHf0bnPFdc;U_tq#>uRMiBizn0P9su}!lDS#eY zgqQM1WKGd>KdPC?bx65ny0jmUXcQ18Cj0U4lS-YFr(A}fK7 z&Q@%Vw({}-Zp-|)8VQ42_%>Bb7bP#JDQ!MdwizedYBlxxVr`Sy1B=n(8SyXQ9>8N@ zH9^AG@c_J@n3E5Ld?v&+C>o)y|37ni&q-#3+2RX^W5@)aNFmD14N*MiG<$#PXuN6O>d1UT!Uw`m{Ejls1 z=d<+k>fwlmmHNCFvGTINKc=T6IHdYt7c^<4{mKrwiJG_?iBXD?*$IIXd?WgP6$F8( zsMrJqZ{ANgmT4o1R4!-&`8VQe*2$jZ$sP*x8Ol9@clRL*HsjoxY(Q3nhb3(7iZvf_ z*`dIvBS-+~L#=ejt-7mtm1>%WwVFpSofa9ppBktxdc>#qY)ta%p3&DZEBWHFLEy2; za7Bj;+Kov^W~;Rp)u7qU1L3;%~9 zDt4UZWT3o`kBUO)`U8DC_i|E)p>iuBCY zMp6o#24iGW!3d)mz=KsGJVw+KvQTXJHG+b;bL+_E1#DfMNqB?mpX+wnVxEFN|N^;Sn5N8LF^ zr&abl))sOpPOSCVi0RnHXf~Kjk>5gp=Q6%;pc|+}eYd&wyJwqTjkbfGBjMK6O;|+B zOuZD7Q&o)40=(JAD^J?0xPAIPG_jc63${CoBDAZLR1+lQTdsHT?>Nte1&yzIgUNHR z24sULF(%ec(l`XN)fjnDYlQyv=0YsMr$9QmH8?PTksUe+aB`X}33PG>_aG0OjknXU zWwM%j^f(0;26P}s;WHqF$2o9N3+nwTE>9Zy3+@3>07NOKb|Oe{W1_}7a)bpHhHQ8j zMyn&jp6?_OVNtor{2r-bYtoBbjstYB$fr3FjU-Yo`C}I$7dgdIDBvP87cm!o5fTWj z=Mzqc)DkEr3d+jzP`wnb-Pp?H! zcz}4s0!&PbW^VOn0?-^u#`OdSNx=W})~4X&ILn`_WO16{BP8v#W3D$yw}e{mAVk7( z<-{J2=|8A$KrZ%w4hgv;4H}MEzVsSEnKNMRg~xGtIond;?fq4F$T93Q3Uwz(^|>IQ z!PQ&U(So||kd`LWbFm~F7`LncLab?Pz~5s9NYYUt?GbBlOt~u`znJ68@3`^9qWXjR zKGzE%N$kj#?d3@9Y_k1>3=cATt|otq+H9(XQ3$6wgbnv|3iH6L!`<3o%F_+Z zmX))_oR?zvRgmO>>AkJ_$iZ70`k``7ftVVo@G!XKfR548y)-u_!rr@3-j$tjOwa%@ zs}PSq9R?X|Ldkboy*Kp#+>CwNn&DWp>z-N&@k-7&V9+dQdw5RF4)H0Nm$zA_?`>t4mo0D}DjKr)7}W0yhh6DPtv}nEq@O)o zM3=h?tlwQP1$DXetmV(&BHNxasyhLH&+RMnIOd&XYJg&=lPrH)W#;WvFX&4)CmTLx zyyA9GE!!-|0ZmazWipu1*A@E2Uj<9EX=fRMozIcb-g)*cgSpNzJj}#H|8gzAqa6Ij zkYA>@V-HJ0KN;EIc$&0<_Ru7&g%P~fD~S=G3P3M3?y{Boh))S10p*`qqiuld1<_#F z-xW91_Z*{Vnx`-$1j;9$!7JqpKciTxry!$uG%qP&DVmoU5Chd~iXkVpy?oDF7`Mql zjP7H6ldIA!wyYJ4wS8zY>xmTz1%A8m9PT;VRlUL;(OJ3z-zSlxOk1U)1Z-A`tebm7 z!%?(R0Ja!G57tF|Dn6>KuL0Jja1^wpfc#}^8FSPT@KkK&8e+h5qw8|L5T8A&Xs^nk zK(^r&fH{|`4BuA;SAf0-%>98-?5Ah^u%7CL>7ei5mHCKb46h5UV&38vdsHt`U@EGY zFi@ZJDZ{8+_2N+!0*`CIHd<)~I&nSR*yYlYwNcryyc8yC@g*kM9pHdJ5yzOYi28oZ z!*lNuZSjnqCXzw&-88-b(je34^Skf7f72-c*dMLyd{=y}2jV#35w>T!!!hOYzHeH}d z8+}i4)X7A^IqqNgez769a&Fj{X<$Za;}6#5E=nc-Zo$yAjz+$|l)cAb;$j;+p` zj{C<+-Q@NEO-z>zMl@ym8;tXxNoc`P;DdAawYw}6W)yPXh2kNFfHiQn8VjUdg6jmMSy z%8hmL9`~@4dJpmaZ}lRpm!-+uRcILfX*|OpHUsWXuK9SR`xXFkIeuq211+3?#UJuC z+cKU^X(hrF$Pvu32&sPdx2PbE2!o-SqzO)1XJEn<7=L6A>o(dWyX|G-;h8vq9E?#ZI zj~|#+uZ9;1AWQ^>#YERC$V;wQq}fGX(`p0;4fZs zOEZ0X72_p8T<)pc+7p*dd@<80#*i`#Iwm%?p@7JcPf-C-!VHa{Y+J^YrTNy|d7VJN z2SYu&P&gkkop^M9TeXp)O zTpjwug_D3!R};3E?wA^O6BW>w{yPKZNeytT^voTm`~b@kn^S`fIzedgNemiUvWeHh z65k~uzRLZ6#-RSRZ_srYQk9k=IBGoA4&maeD7P0ASDmcs%2+p!W=qm-Ow$-gg(h>9 z)GOskc`47=ANdJ?_yo$T3lVBFW)~pSn91>h?Nz4#B9dOSHk9kl-P_e zqrU)^IR-GSg?JB%wz3{9Z0#_6>S=K4iO*zXcJ~JLDXj$8ZZ4V=?i98db0~)kHs@V2 ztFX2{Pw!6canJN|Zry8lnHIYFtXqF2g0(`eY_eXEjSGcPOQ*6sg&kslkJU~G>MUH0 z8X^9+VfxvP50YxKg~8_eI5`r{@(p=VYWM{}V9E-5EnBiLIB@dWdmAbC9`8rmftWnk}S+*-e*>4ZYy4hvG$+Qk|gS8I6<=^UVs6FE}jyG+i+d zo7}Lma<<9IhL|v*j*$wxay|T59yGfggZJTbu8KSy6<@p3jbsWjMbB7iQaHWxjIPNF zUOHG=>G~Lx{Jh5)I!*0-O%-Wf$O{I%s|^o6`n8SgLbgYfRes0@d0@g9buMwBQ#zJ6|vZnA*Htg{jDz`WS zKk!$uZDn;5qZVi0igd`{e~9m~rX$3e%uhq6nTV>4l{MXRvkrxgr!MfgJWByi8MZK! z|264BIXQX42mZ=jp0T)fhmU1~z;I_1^l!dU53XV|(v2B90XIvz%D@W7C$Q}4?l6k+R*14n&chlp{ajaGFC^y`L?T&-Km>rpW=*S)k5uQEMu~^`#hkx`>trw z)+rfgHLIjEd2Q(=C@cyHP>BN=(Kiqra(BAVNoN4Z$tQg1Z?A?8FT-Ha6nJp1lM;7+ zZ4p6L{nKrO%;TYud-AXNRor&?fwEpi&i!*is;vL zI0Jk!By}aNM`+6|;4|sKtMZc;5)HaX2@D%*u*(npqT~_{w-gP9Sfq8-Q0qm^;M~NX4eRpa$*8s8H1-l36pL0&8&UM_f2IE}i?TD{f~snwHw%*~ zGs+>;&PX$#NFhFIt*-_^>d01Z&#L3YW~7CWv_x453z*3f{S=d=&?=iVhCQa}*n)Af7kUo_bwIh&_%Lk*A?M*%=X!r@FS#%tqh|{Jx@w_h1vGUWmgcxh%QCA zlYW)75VXQaf&wTr|AI54}~@UmN)`aEa) z6C+||9Z|Zny~{YfP(duuy)8w1NwR!okqhphPjqZ^J_uHA7M$OrUfe6!C0^1e6Qhxy zjN92WlEqSaHRharVUtx;z=UO$1m&j)O5$6LFKy3*E;%+EJ4bUV0;-An-5>4c^ zT!Z2}VU7BN1Ugty~YS9F^&kH)EUQ>7=~ZOzLp#yeRUV^bX<>GA_@x>kFRRVF6FXCrap^Yu z*}cu969YbGf@Ca#*H!6QPo#LUh~G80S@`6%^3%$0QX%3X6CMsQ6xY91hHS(@{JX`# zSaX=|&(OP<04ZprBLKDT-`((=US%)wlUZFz&e3VNEf#XqAYQR5MkNuycZV79675sx z>&w_a=#3K79d~eYhVzwM>lw~<1uv5V6?y@3H4l%oz%5Hhgk?M$9~HFybeMBAE(tMe z2cGKjNa6NwtO`cwbM9!~3UgHVQ~l!WbW^>*pE$r=z`I`-rC8U!82@BP&Q{B#EF;Rx zX!Dqbcx$StKj*sc798emdLM^L11ULEa;5o#x)L!?hPh^mVDq~_i&3%~u z-VFuZ(gn2ZzL0GXd%Xbe_V{0!GVPl&(W*h>{VxatobJQ!Jl)Zk)u+a>VVrLDahnPC$jT< zeAJlE>+Q=Fwqx?`BWI`83lFEc$S;&GQwD;E(ZlA@!@%rMAqN@Z>fJJ5s}FGxnrENZ zC=tcuUp%#Q0TcryB6V>A zlnjI-^?d;p7Z8i|k0@HzLiP(LVIhCesGJ`MrpCh)@namwK?)~f#8W+h_Y(RJh0x~? zHFQkMgso`2qY9-L zm4j)Zh(8Q5)gIEj=m*M?l~vE=A}>v;J@2iTm#TSH0csMZgif zLeE7^eOu-+dW-24^{Jji=!e%82G281m)m)(qC~-3Epef5lSlIku|vp&s=573acN<> zx=sAdbckZjj4X-%w|~Af~AfzuwG$4rfvqp zG@l%5EFhK;mSu7(0Kr_aY`QOj7h^5^k^}Z+%XX9#!)i?t9gGr|RZs!_BX_b->Hau? zz&yW@57uzIBR%++IrmfmB^D9~EbApDEOAnB1|cP^|Fjth{MrRAm;<^{y> zO+wxoLZ8RL%9U}!ntD8hISMQ!_qeY%!M8lYqBglVvgNw^ME`%2d^w2x`G-|oI}}^z z&H`!q;^HO^B~8`-oDg1lG`?=YF?=RVSxa-~BwEB^#K#lm``2c#_4Vjvdn2@zY+?kW zM06H$a4v*~8t8zUaEfXwvUW6W$(*FD@gW}oc46oL`#x<&Xc)haM6OeiX26vlFIk<5D6L`!TYW^K=uER>pLX{GO5st^eiQA4BV{?2! zX4GvMy8YuWvNXj-Rk6_8N+Xg)J|!hJobH_A7F(J%TjUW{dX{FO;F~pDBB}UL)o9!? z^w7fuE0SF85>1(@$+8GA=HjVG!j_CzlHln)8s28l+`@n6<7f{N9UPCy2JxVwijdlqF> zg0yvP;f4#CKWs>u5ptfd+BCigZ`4}j&a_RM^5Kbb&pr^Ne`y5BAyl*JP?UsnZ zF?VJHNZY)i(I2f3I2D{I8MO`Y+bn1j&a`?*#N0+YN}Jvtmszxp35eFKb_`JSE(L}x zx#})Hie)o!Dh^%uM{O@_NhuFVfuwJeRxUmlvDq2)cn zFg|9KJY|@ju?hn&S^F2PQ`W3g{x0J-F5@cKcv#hVl<2vYbzU((!XSIWYw2ZEoKvrZ zf75qjuA_b912tUdm-?gFFW(2G7DtOir;X;nV%8!rsk{X)2mj3L~S|m?aEtlprO>zuF1r5DMI1fg&5rVW&VH%ab5kT1$@_K?Mr?r23(w61P|Bp5K+qt5KBL82@{cqb9;ks4~|Kh^`e3_DLiYF^~{9d(60fwPJ z&fa4GtedwSts4K}3V{3q{6EjWMDhaq>;*^bA>%Ol?gc07AWO+u(XZ;83=(3p~g}C(q9w0srHu*1R|jLAv};zLz33b^{dS9}6e7Yy~eRz|3fZM1#W@X0GiBA?o4p-s2WO< z)84lngROi{I3m+}Q%?_#dgxO#Xb4Fs@?xuOzJp4JH)tBm;Io{(O=z5}SFS}OwyTGX zL%vS;_~i0SbRUTFWZ`hFe%Cw2Mhy;nlgQB9n%wo7(wNy7ZzUE(Voe+i%yYVGLQ6G7N zRAm8r#G_W+-{%2qYv3PEr)|QfZ?yzxiqHmqzu^=%hW>rY@^9*7iSb ztK*yg0A3@p-WL<2Ynuv77heTV&s%2Zv|nJW>7`u^+DX#f{r6)AUE$I(Pz9rz0XN#S z29iu_XMJAV$-FdC?|)_}QoB%Ak3Z{&lH{XLQ{K195Q@B1`u;Sw1c(Ye92@K$2|6kj z5vg>D>>g8r=DMT3I8)GyaB|nU zjTk2Ibtm9USJ`Nr^s*L@U#+mF$L;i#`{pq*?fD0r7`8Rd}60)86Rh& z=kiu74FD`S^#X$2gihXdLseCCEU;{;^*n8mMQ)v2eQJbsEPv(U9XVp2X=aBEY(;H; z-Z&BM-?s*VmJ-zAAH6Rg3uV>4Ij$sH@hY^&7YTJ zu891)`B0Z{)+_$o@5q(tq=TXCHpxr))OqH1XvSm*vRIR8c9SB-3%VUCEaFHk{AB0B z&@|c&=l ziOHa{SEAhr;_oT(cST`OO@J-bm{T6=*inUK*V>#-taY@J2|7YtV%}U@pSar~T_OPL zFu(X+JQv#}>g`6ln&3`wxr+&1HB8nDX(_4uou(KkolLXe-=UAsRa>b%pi#U3>NbW3i<|^di0ghpY8GB zs5rYojsVhB62J%J#29!4VBHFK>ZWt!mh~_HzPeSPQ5zz`-_C)X&N7CPJTXtP8&!|c zqSSFLr7qJKfk{_pCsL{6?j&lrmu7cx)56*&#!--F04_@Ac8O*#1GSa59QK@AP7cuw z`+;*5ZXpFNKc<+rpX3?C?`(UHV=k)7LbYEinZtVwdH~VgTa>isfebM`^2 zyXpCdqj4?G{mA~iBh<>OLI+e*Hjr}21I_!uD%%d+U4km}!V1Fymb3MLUL*xozMLGnXov0;B@ zZ8ph>Dxst~-|F1k>WDrM0$c+rRvPU%2s20!&BX1`OP?-ems(c3QFnH4^G_dRP3JXx zIbeJ4{kFf`A1u$QrmNZ7giQN!^C0cGDP>#?vH@!uWEk4t2mWv76R%pRBp)}xonmtF z%&-t-EC^Z<%QN(9G_c$iq7-dvFJJ^!9*UdOA6pwheQ*i)IT1LiO#JB0YCvPis-~af z8HulT8S2Lqq$ z&HyWud2e|{j^Gpa4t{xlfMjolh-M9m!H;ftKQmI!Kk19)gamk56`xmIz1DO|K}}IBHYM&Bl%gy6QUc4bZ5%<}t)1A1 z+DG^V4U5rp216`JP{o2V4~NPQujoUzDgaZu4t+{o%#-RgpgW2nBjYpThm)D%bKx5{kdf$RPe&dAoUd zXN;(#(Z)zyDyKvn3>k=%HF6(HIO{Sh3erJZx4`3qXl?2d(Py5 z`N7Mv(;I-|#lLPQ-YPeDdLcq25k+mB&d?o6dSa{mv2QP{n!;i__J0lj2?3QS&b>k) zad?>MqG--^ZCFDY9<@%o2az^NyzJZO-#7gEf%lqBi(mV0UZL(RkSCoZEl*D8Hf zTL5-Ooo}?t_JI_J5Dt8kgCIa>;Vxi`VWvP_0Mw1(cNcw0E`kt7Nr6z0E^2Ex(P@oB zi-YW!?4t0I2N!?&;;6ybWSYRO15wmKwkU$SW(2Y&oD%E?E)$!R*xH6!+OB&8L7BE# zgSiow(J__w94h8UmHrh*54DbpbHu`Ab8(NzB{hN{)n9u#j^{8(b~S*{m*bzJr^%gaIJO&5QiAe}ObU_tu`+ngXMRI(mh`=1sgmeZb0wyU@$(6mHZI znlB`k6ZLn2L}@eQf>K1sP$Ov7KJ7QOeAm1YS!ut(G~-;vt-aLWA6>eYNI22(PdmNm ziFyN`Gz3dtF-Rmq)J8b4QrQKElC+0nR4B+`ui9*$S>zoZ5O)B*Vyn5o8x)LH>v_U9 zJ>vl#T?{CCHm52v}do|DT3AptN>=Sn3xZ+GYVq< zJdl@UYA4-5vZ`EEt&nDMT8=VbkWnOc#oNp97d%Tya0{vIyjuRUu_VFf#TVei<|g)$Mb8GhugQ%8kA6c3ZqKiA7TB~@tX5t7i1B8=G*x{4o4XD#Ff># z#OJ${n5ZDhJG5(cHHxzv{S!ymT0i+`acEYLT0o2r{{7bF2$}KCoJFa^FJQmK=Sjq_ z+aiq889lpA@+Yf?}? z8|ZIk1{S25QdDZ*E7P@&#YSst;5n<>QxFxvKtIDjx@KD~;$+<#fxLJcfj`v!C$2UO z6_$4subC1-E>`i3sI)M(8TVV+8p8vLX7iylTVVshnQJ$S^AT*-^Ig~%m1k>A`lfsmeUj@D0ITiggZj8_0?+;HjX$$o_){|Pp-L=*N{1` z75vh2uD?jj#Bqa;Y&>X}L=9W->(~W{LaXLZ!fM&&(#<~50;5OI0Z8`5zhIN@vVQX; zvuo1>63k{{JE~-M)76oBK7g`~;E6J&j|1_5mF>PsSvy{#ZH+!EPQT+D=&uH*r;I< zoPivKK^J!Yrl^-aX}o!sjTc+Mb({%D-~Lbl3U7Wo9AEpN_}bur_Cy(rvytU-Nt6j` zy@52nCgyU`mCpy{@Bjg8@|JnMAS||YBbK?^*TxM+b4@x~c)yVPGgq7r&RMjqrxQHA z4X@*OsCe=+rTOMxXl&gX1pS{reb4uW5FJg(NGF)tG|9Z-8C=kcobQ9h^twa&T0`Ri ztFF4?VLgMF9Ts~9d7Y^h4?>h(xCvD-mt83WBN!$yx$=}F>svBwH>dgS+=9O_I6OwQ z!WzQuEUJxM^aoDvnYe4qZQ>vS!B{oy2Oxd=Fq$3q43kl^`C*a1eKK^?ogDNs*Mnh0 z6ic)t8acQvNme5SVy{71=JVdQ2JqWU9Sd@W>QJr`7b|Zk3 zHu#pY?vHX%kX-g%EG!m28h3;!0nc^2{&3}{@^=bBwGs!Z8oIypJ#@$?qN}X~f^{?P z%SSKO@}%S(#sm9JQjgcxyiWp^(GQf%JX(n!wzM3zp)RRlGv$NfkMFPx!6v5#(nRB3 zD>3(F20_#=-XF+2t!_-pM6Y)DA+)ASUAlgd#=@_!Afzp{XW?B*JqCJ|(NwKaJH@{T z%t2D=R6rECXiU#hVr!nut8!ZbepPlM;3yU#&ef9OX{Dh6z3LfA{?;uR<1_jEN*F90 z?kfo(QzDJ`zUT&g6f0=BpQ=wALPvu#gqJu!VltR43f!o^I z9@*(}bHq5t)-r@^ba{2`gZQ&yU3DU(fXQeE_N)(ec8=Z$aP;#lA5fo%da$o$Dtpzx zBas<|&kP5@5^tJwo#7}D(wxquO{F)p=4VxZn>}`5z^ZMN^(g+zmNmRYJEXU{W_lF8 zPEoPm9Y7VGdM3pPup*iS9K^qEG5U~(7sDS_>oDwg(EWa!mb6Fuwq#1rE|!4wsB#zL zkbEDF zcZ{#Kq;6V?FTGn0TfJ2K>F@q}EV|2sn%~eKOSd@7jC38!+F5{w9JN>#Tu2S0sLo4P;mV)ao4*0y zu;`rB>$pCJFu!Wk&QMc#pJ;C zfF_Z=692&^Zb?q4U0I0CeHNFRREl=PKZ+MluQg(ni73RPDNnQ9#~Y*6c5;L%PZY%R zsc5{zTlOb8wIF*p6L*Nl2?seQq<&L1Z2al-H@R~qUpOHZ%4v0X z;#Q;dBEe}fUoB!7t|qpxBe#W4Qq6`E7p*5i5^F~;cR_La}l+RjHmKkRAF zwBAI5J7|M!1|-yU(j`vxYjPVt9haT!pUeiYXY@4YX2)T=*d`d3iwnlmrD3tksC0Z| zjNVa;*|HB^G$&`OPl&`*&YDy$>uxUG#a|2WjlIK9?~vCMAFp$Qb{=<~@PIP)rL|!= z>Kwv|qVz}~*7O{@588X-Hlxw-6Zpq-g;-Oq`{vIX9fw$Csy+yM3L3rlSbJtfd(2Sg zI;8lClQZ{kXKFb^9}B3ty?QTdWmL^iwKanb71Hw|q-B zRE@4w7QvQbCI{_m8_aQexk-3^ze7b%u~H1v-K*Ez$F8$MqE?-&$sjy=aY}LRoiAa@ z?vXodYqbY%0Pa~%eRQU+G&p0Ws=YTDg;5*0?MNC;eKZ9rsb{kI(48MhE-&W4?LA^% z56kGpBWr_t99jd8F^48Jf>LM9vU5^llRCY>YCPpV*5g6R z)zPBPZFJ^=?{e0WN92Spp>;Po3+9wWOLIkF>;{7qud^u`dj-^#OO@h!@?R`LqEbuC z{V^@}mR~Cuo4d3j4TRnx;jl{gm+77`+Jy1drODY>c6CH6bqxpVjM~9K9`4k#yuX2G zXuhfTWo1bo-?}mwTJ88wOqTDPz_(YqhW$Nqg_iPz?3ifM6gwOrl{k%UnKA9fnOnA) zkP_QHW8)~kEmbf1(J`{Eb`mJrChS-aCigJ7wx?RykvkF`BcB>^0BDF6ATam$Y6!~} z5Q4y!YLSWzMIl%@YYJT|!;?cO+7SxZ)9C(n^5mp2o83-k^PK!J?VYwoMDyl+sv_WR{krUxpghvK-XbuU;$^HlP}1y#@_rMak}65e^?R;vVnF(X8=X9QLxan)ux>{Ihch z&B?2&?Vn40wh9v1&5ug5a_^I3jhI;$jg1$+ShOmZ?>5a@!BCXXT3K0C9Dl=Lq~k$q zMxMRt);Cvey{z0t42KIw;M(1Vwa+Ww#|J_hoIx@yGLe!2BB%&Y5xjUPRf+jyaWoF* z-f5|B-LHZ5{OF~nPB+YBpENk*68S8g-A+m>IF#a|4&e^CuA*3m^4=r>S)-#O$l2j| zg3{|ej3vh!TQpVfcQgDd7M6$fvZ%`wY6il4%<8gvv^HYr(RK`KtVuSGE3KNjTpH=@ ze)-_gBVZd=g`B5=j)(_NU$Z6$HP1*lM5t@y-fSG9x`^jp4YA=dU3zHbBUexw6fod> zHuwFqHGu=wbY@iXjjIw%nsx*x91P>syb0)rl1dZbEH4*ZyCv91+1Wv-NVlXfE=I&L z>A98_0>=yD?MxjJ9ZgLp*)%89WV(0;1)Vs&G08)3V(ZKOd|v9$@um6mC9rZ0KbnUt zi%U))tH+d=M$68P$CdD0KHiRY<;9l`Al;=c2v8-OSS^PsA=UI(!1B8^uEj#<%FKP; zVoClBXZ4+}3Z^fN&k&myFt8v_znu7`p zM}7ING}i|XZ_XO`%E*@Oqijt|Se9%mi15ppq3!>27ST>M?M-6yDR3`;F02!pqLm2` zt>}M?O)$i%PG4@wCE1Ec*e-e1E5?WlepE^t__P3AztZA>ZV2C!(=e#!;>AoRv#yrS zsFx3B601+S+4!za-|GCQu61y~6BAR`K|!=D;Jrg7Z@@xlVhU;aBp*3Q)hWov3e0|5Ph zifS`9g$yRI<3K3fgiMTT&H#q^!CSxPFhqpT)_Ylo?M$9Jnf<<>0h5xly zwb|ZG!aaeuwI_v~SKb4{{z9$q9o4@#RNrsGTEiVKgq?Z5JN?xU5f?L5+r4^$0)R(@ zepqK$7=qcJFrL2x_;1_P zRqf;BMQ$2@h*CS{f5c!Qn2o^SvPZU4{dWl2!Q|KdCQa(xB=Kcpa`Tc|~cfeq- zpVIB@isZFmhMYyrcp%(f5)oi9=g%VB4-Dpo=dJ(H>U?d1Tui*~s@OdH%E976>2#(3R7JW04BdihBA8pG*2kU6?NVyrPz_ ao3@F8fuXU1w>b{3YpZXJI`8F$Q~eLQfXiC| diff --git a/ENC_demo.runs/impl_1/PmodENC_power_routed.rpt b/ENC_demo.runs/impl_1/PmodENC_power_routed.rpt index edf1970..e60f1bb 100644 --- a/ENC_demo.runs/impl_1/PmodENC_power_routed.rpt +++ b/ENC_demo.runs/impl_1/PmodENC_power_routed.rpt @@ -1,7 +1,7 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2023.2.2 (win64) Build 4081461 Thu Dec 14 12:24:51 MST 2023 -| Date : Thu May 23 13:28:47 2024 +| Date : Thu May 23 13:51:10 2024 | Host : LAPTOP-DWAYNE running 64-bit major release (build 9200) | Command : report_power -file PmodENC_power_routed.rpt -pb PmodENC_power_summary_routed.pb -rpx PmodENC_power_routed.rpx | Design : PmodENC @@ -30,14 +30,14 @@ Table of Contents ---------- +--------------------------+--------------+ -| Total On-Chip Power (W) | 0.116 | +| Total On-Chip Power (W) | 0.107 | | Design Power Budget (W) | Unspecified* | | Power Budget Margin (W) | NA | -| Dynamic (W) | 0.010 | +| Dynamic (W) | 0.001 | | Device Static (W) | 0.106 | | Effective TJA (C/W) | 11.5 | -| Max Ambient (C) | 83.7 | -| Junction Temperature (C) | 26.3 | +| Max Ambient (C) | 83.8 | +| Junction Temperature (C) | 26.2 | | Confidence Level | Medium | | Setting File | --- | | Simulation Activity File | --- | @@ -58,9 +58,9 @@ Table of Contents | Register | <0.001 | 19 | 106400 | 0.02 | | Others | 0.000 | 3 | --- | --- | | Signals | <0.001 | 38 | --- | --- | -| I/O | 0.009 | 8 | 125 | 6.40 | +| I/O | <0.001 | 8 | 125 | 6.40 | | Static Power | 0.106 | | | | -| Total | 0.116 | | | | +| Total | 0.107 | | | | +----------------+-----------+----------+-----------+-----------------+ @@ -70,9 +70,9 @@ Table of Contents +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ | Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ -| Vccint | 1.000 | 0.009 | 0.001 | 0.007 | NA | Unspecified | NA | -| Vccaux | 1.800 | 0.011 | 0.000 | 0.010 | NA | Unspecified | NA | -| Vcco33 | 3.300 | 0.004 | 0.003 | 0.001 | NA | Unspecified | NA | +| Vccint | 1.000 | 0.008 | 0.000 | 0.007 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.010 | 0.000 | 0.010 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.001 | 0.000 | 0.001 | NA | Unspecified | NA | | Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | @@ -133,7 +133,7 @@ Table of Contents +-------+--------+-----------------+ | Clock | Domain | Constraint (ns) | +-------+--------+-----------------+ -| clk | clk | 10.0 | +| clk | clk | 100.0 | +-------+--------+-----------------+ @@ -146,7 +146,7 @@ Table of Contents +---------+-----------+ | Name | Power (W) | +---------+-----------+ -| PmodENC | 0.010 | +| PmodENC | 0.001 | +---------+-----------+ diff --git a/ENC_demo.runs/impl_1/PmodENC_power_routed.rpx b/ENC_demo.runs/impl_1/PmodENC_power_routed.rpx index ced1801b05cd922355be4812500893a8e782f85a..9de61849ef228cd08c46b5dab3290cb23d43dc87 100644 GIT binary patch literal 17058 zcmeHPYj7M_m7dXabS1~*PV6XRy=mkUD;qTG_hYbQY4or~WJyS}1#yXCJQ_)pjAkbD zu&qFBC*~p?z!K+lWiW4$49za1ddk%d^ed2g{NcLd?J^1Qj zPuF1$5F%ZQvR!+3nj!Gi4Z?7ZbRuEs@%c{;ztbcHp1L7~h!2EFg%=hwHT$^XUa9a( zJjK;)P!kAWGl*f2$U@XWAU}D;Y*9RQvBwe5^*cyQCY8^{7K%Q4>YAS4znFEq@6U5^-ub5 zhU|a!%@{nldLuLGSTw%4$_~e}a4kEPIu^^Yq5Q&9EXU@v@#NAw*la8pPNid+NDfZJ z(NuCFj!I^0qYH;`Gf`FK>li4(YJVoBn- zKAs`b)XGXM6OBg_U9Gv*bUYeKbpInEFoFqvoZ833C1rzkH67&xT;Jg>H-zN;1D_et zd;>n_=qA(w%>2^e_9ssNYpCa4KYL}c?~iwCgYx%Zer-T|!y9T!rjoG(c-fTaI+<+K zaw(o?do?zfV&PysvI_Rv$@o`d3-SC4+skv^$Kl`3?Fh>HdVQSl{l4Y4blY*?DX;Ie z*LRQC*Y$SdonyR*x*F1>-QK}njg!kOG7gB;H%31H-^(-b#8Z0@;z##p(ilzLz~Bdh zhIaiqM}E)*BeJLK+g{Qz#4+%%+wW^+IyU{`r@t2b4RD!fpnHq&=dI8bojIZTJ=o-d zi&~)N#O*s&e+RS-3_?pYw0yMV9jgB-M8_5{wA|P9sN!d!Pz>?~e{Pbog%@AE**V?Vscc>KTs+|~pwCpx|%_`Pu3slA2*JSf1! zm#9E<``uFE%c26SpY69OAU^*E#lHm*P8Sup@}Iw~_`9IxM@0pCKA16GgRZtSAsk4A3f%wi#G(LIvSd+|`q8H!ko=7R1WFdn9{l2+!Ai4V zdG4s{-wqdj6`y}K+!h>62g?%S!&>)!*Yq&#h_f#QRR7!HuFeqLg=W9+zMZQ7ts@1(kSt{12nT9_^QBUlf9yhq(R_h-|@907AbAEcvy&c#1V>!s_(G8|q+uvkma} z!rQ}onAg3~E5Gs9$(AraasfftI8nnRRZ|5Sw5JBJ*S-mCi9r@@1?^)uPNtcCcqd0+ zrXw%ylC?3=&x0LlDEq@>p@XA`h50pA{$&%y%BxLe^Jpe^V?LIQu7dOIzX@C?lwVwo zWyqD(NnlvOGj=2yIg$YX>e)#)6^;$RBP0|{utmq3iRWTj(r%pg;~8n9{&l92wDBd4 z8YlV(Nqe8bORA)ailhZAzJ)$)*bHy%Y%Dsf@t5_0 znW>4;g3NXGgx&Lt8`Pi=V5#Amh#9*Hx?-Q5y1Y)j;M78Zr!T6lt z^Uw|$uRguQa0AkAIF;dP#5kFVti&86k9jv~go>!rg3W{3)nt_T^nfn#nkthvNe?KT zE~;`tIY?GitvjxLUJhOcft3Ym4|6n2IYP=sCt4Hop5srNAbEF4$bp)yN`ixw;V+sX zWp_wEAaa_lOKU@Z{gH=EkVblD794CTL#75Yfdfb-07aRu3l>{WYGFC}R!I1xGY7(1 znmBS$&4r`+%uEgjprU!F9G=31yDO3`6Q2l%mLyrTJY@Oq_nPEZ&=jI$hj9T#7iGC< z3Pn_T3*?tSHe-U6+^s7FWUz&<6|8Fmx$_&U2~sd14>;&uJtA6!yzK|Qax=XCR%&uE5Er)4sI%p_XU-sjw*Aq0OKDH zVUohjx?+)W%yWgaKNY-o!r>u2guSHekO6ctpn}ixoaHIQUuml_tl(k>MG$2jVpWy{ zng&6pTJUE7=f9+q8K{H;?WChSSj$+8v73MLaM?Ho|8fH4AS^geP_QoMRY{aZ3*ecLJY)fsnM0&ubksaT zSB~Cmjayi;6vwUiJe874<5oBmTY}{3=oc`^DDdLJFQ9@^ZKLyH#F=mu{f>NFlL9Z0-w$vF`V)vy;AoJi0UDIK^$MV=|8lt_3A27G8OUE7QidRR zS>%$DoHKLD4M5EOF>?7@hZ+ppE`L9YRLDS*pgbM+WgAC3aMafFof<|H4;%|4Z*5rysm;t`|m`-O#KH~uy9g6L8MMqT;Im- z#jHjU!s2$d$6=9B$(|41E6`ZrakCnr+@pxo}!&Vq5}pkWtlKk zEPC1L)|S1>(sxRE(W{E#a1EW?|96wctDp-FKd@E}#49`Jy*UCCT_ctuWU#WiJ3bt~uq9NqG3*6vLF_tI#6Q=Cl9`4I=z~EDWvzH!jds*f7S^*9L{tSA8Z$*djd!Y9>KCsav_pgAeRki;zwg} zo{1%wa?6B_CDZvFI|VC*qn7tv;8nHAzMppC7hV+)aFG)BxTg%wMPwwoW?h9kKM-48*w6rfDY z*M58kI~>oJ7PS3Tl8TnXZR4rP0^6G&-AM@Sc!Q05Z10?L3_I}X@g`$WAZ(JPr(1Ai z96Oopi!R60EN!}CWq#vXSmO5E8{S0eu8my53 zHP$#Y4CXG+Jq)MBN38D%mIpB+44I50P3DLpN9h~pt_EjKAV=4z2`rbxGA}Q0SQCzJ zIZ2Uv?Hg}GU2wy{_DdgXi1d-Px{5Mf!^7;|Z@!E>Ut@qWFe)_CYb z$r@02T{_wM8Spw_!|)&LG%5fpLbks?;dyd;Qq zvc}T`zb#sWn>WYN#T`S`dF1x@C4<2xj5_9FpCtiR>^H($Kjc$O3i3_Q&K43VO{>*u z6pAPjUxtH~(p{CatUH}nq+LtuVQ#l3(Mla?4y{FpLe8T>a*1_t=tQ6WcQk!cMy(Zh zvfdz+JsVlRM=^I zu`vdF4N4GpN0vNcA4jwmd3&2TjWO^o#n{3}cf=NV##a0-ZR{)WoTa;GVb?5B$GFMQ z3rFQQ?y9AvG7`(BH?%N++6LRyU*GoEBbmK*B$*MbUL-SO)rVw8qPT55T)il3#M&rjjTrT$tP!t1lrXJvFbuuBT(Ha zYXqw!WsPu-l=VgZ#0k;tf8uoUKNv!+${!5TC5(%s&=I6w6gpznk3vT@TMC_O$y+v4 zS8)5*pQ#~~?T^7~;nERogLFJXnCSQ#e&~e=9(b8IC9M5JFX1MFzdQAGuViBBR3>Nq zaF^{{jKj~lri}kVMB&H0#!r|7nRJfrOCPa(na!`PL^7-4!bR|XUnYIra#0E4cppmm E|7I@8u>b%7 literal 17153 zcmeHPYj7Labp}9+1THBHT1hq|N7jle8&VFzeLrB?;#;J{mq|*BC9@C+tSHzZ00W>R zdd6dpJ$Xzbw{GIracVoURZl!g+O(NQZd^~(Bz7w|k22u=PJut4m^?ziWhd(OG%e)q1g^>{pfvb#m#cqPPll9|ECtdUzu=5s$9 z^mvGeX`NY4$491ycK@>7?+JQ3JZw|<%`#l&(L5vgXA(b-{Pwr^-FLj7v>c7a5~=(y zk7w=B9_{j5H+4O?{poNoo+9Jn&GH^L|4x4I(6zNhxQ0u z_rb2tFa4!%BH-c15YnQ!OBCn&_oSHz!d-vz`Rz)R{xKpFnwP(3mXkXGo6G%w1#zWuE))CFO7XPOswed^Ii>p~bJ0T2SZGm=Qx zZ3|PA#cXuhbgS3j|5M#!PCRt$5P+CGJU;-km=JaJND(5R-;6at3ezB)v__^BWY50+ z!GjiS;Nb?W0YYR-QFh=^j}-zB-5^ZY$Rv}d9#8+-@J$vW@X!rmkoZA}bR-_n*6rh_ zd!-}Gi8NQYK`kJB-5{ntqVbrCKwdv@wJ09C*kjRi=YG`oWP_jiY74Zrl5M1AI)B>8BA}Q30XDSGC6-drq5P$$ z=HW0BOQ+%qR3gXr#_2_o3| zJ>IYR`~A$Bt*8T-`QpHyH)cLN*mdstR|a~2^I>g3{`R+i)~{Xm4mPLKDPs~(oADf% z$+cQO>%x#^%#3e3^)@g1vf};Ia`v|W8hzB(BH(gZ`Ce-TnhT&G*5r$cK;7sp(**o4dI{% zn>=t*3$zT~^-V3<4lVry(6R+u_U(L43%(0lE@F!pTAF+lT9AR3hp+{4e)!<$lwcdQ zaMFxXb;j(6EdE#H0tL(9uW1tz)cE$5(Y z-+jDQ3Hsq2Pf-E VOL`fQR?*Pwkg3T00JcoW`F0g zo`aQU|H_ef>%l#6(qG~I_rhf_bYFY0ED_%98{f;CokgvM9r4JE|E>jpAFk>cgsaf( z-~Tu0tbNeZj4dUL7JA+6(9c}luLngqX9CYb?JwT(3njP%T0U8+t0^_}&pt5!i$1c$ zjCXc6nm5?)$$Yji*F##m*s;XYY0@$pO+jKJ?Pi)O9BrXVh!C1}*!k6r!Az0>FN9Q1 z6m*{W)sQF(BCipzM7#p^X3{h|%64q&2o&E?O!{Q({P6Z6zHee=_=LC+NksTaD#9_h z51~iPVSp4uy2gpBj1sGwD#)NcHGs4B&0tH6vT!SC zZ`?hdVGiS!9DP~7d1;qa$3RC9w`ZX4kBko<89gB^R8{%SW(bxaHx^%BNbZ( z?-{!Xd}naw)F~rNuA^Q8;{qPBBdO?O5*)0nhiol;Hyw|VK_kf)J!>wJH*%!SJnX|G z(o7xfjV3~PuAmY)!BpP>Y3mhuNtHBFku> zx9Dgpx~ut@^?;$Nkb%c>1Dta1^`q zF*zLGT7-`v9&=}X_h>nsOfN>0mA2^!uv@yDUH{wyVdYD5MDVD_XJ0CRZUE}6n|AQzx>*Q1(P>1Z>uh+ zUkquoDhWb$F_%6tYk`#A#pJ~hr^&jgRmVKveyau3Ow)`}UCe+iLiq6thvrm5P@d_! zU^6HGK${xg2bq6#ZZeXi86*#NT_m=Woy)^eRJ3pnYXo>c#4D043j_?qagro!w!3`& zQmaLB1+5`6H6Z;;NEcvdj0cO1RB36f*G|a7sw#IYol*5@6ubd0w!0*MS``C^fsQ;Jh<6?y`PN zvkATS&97U`Kzoa4Vl@!CkSyTnh$Eb&@UpJh5YIgDd~LA{&RoMK0y21+tns2k051*qDprbKWtd(C76eCg-+n-Uf)q?#!KVpWEkP=z$Z zLwTq}7PDLNlb7zU-B|_8*6J+C-jXWIx(1N1rmvt>l;mu@ohA`DMh3qZ(=3QV|ccI0yB%ZOBKjk(L! zi>eIXRxk4X(^GP-(V*y9?k-!UNV4AS%kTWDTpAoHGQUtO8A2&6LMFs~U9Fb8{nbAx zL!O`mP0bttJ}c_7&V$dY8lZEkWX~%5*&ds%)eS^!mD<3n{=pOPD$7dM7iveW6jC4s zqNk}U_^zb!_C(uzK4_Cw*?_E73Pr1|Pcu(Qm0cw+Ft@vU954s6Ia9?XV@|)om95C& z1m@H}7hTRIjrags;_5&TQfT)$sWPHjrOr=%OmdAA=+2{VCaRK#t@mQ$-BK}WQa6Fb zerzMS4)v}T_mMw*kF8Io1(-Lwj71=@Q%PwBzHsXvcjG{)yDQ;5E3)2eY4JI+(lOMX8BU0^ks6#l0^0#Y)@_c?nMC1YO0U6(${= z2J;RZ?oa;b;YwTxP46H0L30E(1Ea0uUz3mf%YXpG~^BcGol-!WR z!8TQL%)`eY<>;J=7POdO8j4CuNkn~LanZ$*i*TUr3d1^*j>xc3{;37#c88~ip@c82 z;JAR5$+Kc5vkva)&`{&x_&$IuaHYVS0+)k)4sfQ-AMXTG``pf&WX;Bj=l406y#$%g zBJ+$yo|Sp_0g0#o?;n+?@x^=zU?u0UR1Zk7dBp

;(4ugBH?-frXvKn#kUo!Trj>&P$R9Ia8(fXPWIY2 z`nL*@>wI4(xssdCL?RL}&CC#S8s@0+r5eWnuO}Z@fcNJ6Zo|0@n98ZBay8XcpEzfm z7=c6p=2Z1iD!!IK+z`0a1_mh#!5k*Ru3!VZdl1ahiKeX_1}EzEwQO}EySHd7a4T44 zap1eCscLCQ-rR1}4k`k30mweBrOwXR=)8N6wdrG<8bWnF3Hx_B!LPBPUi083tBaVxPpJ#8Z&~OlP6=nuI1>$P@JHGZF3>j-) z2S)`J9STSsxoVDsxwp33%mpRo6iuRb*56gI$L{dUVWzlvW3B^GrvT@7U}jwLny!CS zDy1!xt0}@cPzA2BG`)DP3`dC?mBNi9v2CZgT?dTe!(YQ%+~OP12?KN$*j@pia||FQ$NN4(iV{IJ)EZYUt__zhNqQt@auPOcfwCe9de zoHbHQ`O}1qr!p&fb_Ny}N!#>FzH~qa6Y)gW{9)VpG&?bUczlSR8<`y*nFI7`c6elB z;C4WV>fHuCIG2+ow%pleU#)_3k$DI>_;b=ZeH&c-91t`nX zcM$Kv4kvP@#qc1Nq@ty8*+e=TXS*|_J%qq^IoS2bb{{jp!}dM;eXF^l5O!G7!|k}M zj-5{R#!e?PEN$93au&9G!FDbdZ=6WMcC2)Y?4l>K?DVNqg>&&_<`qJGW3$9Radc>M zdQOD31jv_##c(f2U4wv1*$9u8*8qzJ$O!(cqkb+ z*SA;K9v?kavIkUPSJ6L2C|ZDL5I61XytvNSd0lCge_Z%Ia#O)Spmey3_5c=I5foA0 zv^@k}v&TMcg-{z5FWIq&i}v6atkHC3-y!xq@;_eQc`)3JvBx~)wW2jZ(6$6?eqpT=K(L#SoTx2~D9_+MSX^7dPG#&#SP3z_bJ;jQ_U; z^@&ZVis#i9HQbC?%+-l9*d1Srv%<#REA%g=asGwWzQdbF8u*uH?ga#9y2`t>L$JIL zm+r-dJ-EOv<8DDOd@H|kk1l1Mk$En?p@sS2b+GGw?YiF~3+-(r3yok6W1$hOK`b;v zHH?Kuum-Wv2-Pqa8o@TtLL*AUSZD-m7z>SHjbfn@Ytt+=f;5VSMyy7%(1_>2Lf7$t z1R_;?K%yQ}8}S-OY9rXDNo@q#G^vdsjUcrVr-7t4!Zn7}Mx;iP+KAT>QX7F9MQS5f z!$@reYY3^0Kn)|c5v)<9HexlB)JD7plG+GYjnszafGd2q3(=gP?YiLny~b&FW#>5p0u$JwjLr`#K&YLj(`JtXl=DKSn0pL-4Uy zPv>&h$fUD*^SNNQ_f!HN7Mn5u{}Y90h0Q0VL)lE8?aeIOe$1^bFGsVhk-|yvdv7*# N)^<_};cPET_s0h3<8cz zRGR?^w(5Y$RwEF36-+L**l_KJ?NGdI)Mga$x_i-aX)|NAV7AlIPR7z%n;n_d838(KG#&r| delta 161 zcmaFC_JVCfd;O1qceY1)j%bM4Oyy-@*yQw7=-(Voo2|kO3<8d=l80{;SzVO`v6sqE zKYH8Zt2_fkgZ)v}1t*j(r>Ze9FgQHb29gY0bwFgR5s17BCYM@7$lkR5YQey;&FQEm wh&|N`#C{4Sf$FV6D-E;%l3X56(f%jsw*qoUttM<#Vf0AM9EXaE2J diff --git a/ENC_demo.runs/impl_1/PmodENC_routed.dcp b/ENC_demo.runs/impl_1/PmodENC_routed.dcp index 84f7056d8a03bdb7d10409e67145b0a8268b6fa7..04b43b37b0a7c86dde72c53767c9a4a8cf6ee124 100644 GIT binary patch delta 58665 zcmaI61yCMKw>A9m;O-LK0t9z=cXxMp_rV>4dvGVgLU4CTaCc2`cmHzEy>;t-tN!|{ zcCVT4Sv9ivo}QYu`>hr2xD`!BSq>5k3j_kefh-)GwI{R{rp&=0&=f2P1Pg)(nVUH? zdOO%_s6&GwYZ;U*JJWu;<}hPLEZ(YFYyUvREEqWR&NT;ZXQ!_^yX0brCK1jisSpFP ztW?X${oe`Qy!f)|_y`4lQ%=y<@eV`fK}hB%?L=&6u1=S?p4jms$!{iVz-F4nIN>Ed z55;2UwfZJ7`5T}biW|&z!nzVs!@08xvu>T*f?S}y(J%Vs(X1Bvne|cOaxZSf>WL7a zVis96T`Ihn^x>SArx_r+zvw9S+1hsxicywWB7q>^uFpgRcWKaS{#dkt z(nM!yT81X2P8gV0e!-*WuHKwaud^|3LfZUsmt52!Y3 zECrt@3f;?ju&+N6x8yOgIUOU6Rc+KM_*FsqTq1goDGiKra0Ntu4|{@5B$7SgZrYO` zTA6yzY>GA+YwCY6Vo9()o)II#SZx=x`Hii&#Sf3_UcsU6OX&HTT(*{{&eC1c>(jFB zrv6zU;q0SE1;dkPT$8({-y^Uk$`f=Xt2Wn@iuB_P+RqPjq0T93xMZtpDlnOZ4vZn( z>F3C#gW+f`+OW!3C4#UH_qfSe>#BiWKVy>15p~LZHv{u(S~p+=CL6+<&g~w*T&_<| z)bg#V>4du*j;rT(wFa0Y@-{=lW+94aJx1DP^`fCQg6_0rXq>hL2=&w{)3EE4~Yu&i>gf=kE4>)!Iyu1VI0pdntKfO0t^2DYm;CaPN33pu!0Q z0|O5O4fEfuT`(oMh9MLlG7=sVq8T0%97GJZaLkA$`*%E}o(#+d|4$+ZbEhO(WL%Pg z3I5VEP{_fBK=qL}%FpXS;*AMdwdxz27iPThkJY(bG!injPV3E%he5I^{fF$C4BuuB zZTWR{lLi9c9W8!@6#n*3-z9F}a7jIntQLIKw&TjRk-8!tpM$w{-9o&Wt2fUY@jEE(Ducdm~<(W1Z|MU-T zlk1O1_V#(949`A06PHG(ofu7Xjh?PXwxbsgLO6Ru7GST1RWCDV%8|CT2T$c8_p8A4 z@Gcdn^O9`-g1x3bc4WUh-`_p-<_Ux&2O1UxlDsvUJ95HPF78HYCpBktj5iRv60B5; zMp!EP0r4hOD$L`THY2l)pXJXzzKdr~OneIIa(ni>ThrOlKS#am&ArsCR?qUiYM$!V zDY^0(LLV1w%32U2f6x*uDYvv*RN$HlL5B(K0sYSJw$1#nu$#X+y)H3tqVLbYSq5ZIl^O-&Vr zUYQXf@%-#@2J$CukjkFq1P+_+$m;2N}cNd*<3Ka2YPQoUM?)Ta=BY%Ca$T^zr)0Jfs;v2rpm4)~<^59@?Ah;P)fpvZWlHjTi_Q_tAty%!;_ zXoqHfM(UULY%(YExU=ThqlZm$(xKkAJ^UOH`MAXYkew#Dle-6ToTsFXPKtWwy8aF+ z&Gf~wJpWVMpVQ6drqiWm5?J+5;tMWzQn$SMG3{8=PcN zQu4szq;*ziYHZ3?p3+$5>E@UD$%!V}z29w_TK8497>#tOJLOI+4>SBWR)oJ&x;Q4> zSTZ-1LZ(L%HCqg>6Xp;;N9T4KlP4Gm>>OkAB$bF7B2jPpua>)1i8~6e8jT=V@`?D` zyYIE$ti85_O{z3)yc0eMM3(PcPXliGN=yi29Elqm=U>meKMT&iCYEZ48D+eg|L7oz z+rNy9qdcVI*uBbj`N6K{(`AYO>~%Y&_@Ln(h|LhVas8N7RUNnx^z#g{zH8WScy>nd z_Hm`MMV!wnDbQY9ET=^0w~gixYsU%|N$X^xFxtoOm~9++jta475&nTWrxSoj45QMt zhKj$2N$gei#S1NMAOUSA-|bulGB$?*o`UOj77An!J78X26_kPDi=s67czDptFK}O8 zthIfp5ySq8eQw9=~Ej%@(gl^x9aHI^Fw;)ljpq5#6m=eJa3xR?y zHhGf5I~eOYXd6q%z$vqbMPVzOsv?u>LLO6H0dp=0isbq#KU={7Ae-f{lhe;kjZeut z&P$Y;BD@4P=!y@r*%B=#oHCs-Li!bC<)Nt5|MU(*pju5f z9*(Jvqsxh-8%j&y8q{94PT|p{aUZE`$odhYJ-Ve5mxfG~Wd?-zr6bYh9MeXn#X

q_*DO8)hYgE9c7w@_x<=wlK6)-J)pe|7tfs4Fk&DeC7ZpK>yoC1 zRzK$=82gh0zcj5he{e~9AEtCw41F+#3~BVDH&|xs7e1V zrOR(|#My*;l$1va@y9GtBs?%OTI3_42G)N?P74;21tCf@Y@VxCc zg6jT8-g;@ggQ|61{oD9Mi63>)efH`n&c-cn!%iEm9FNH#UvRA5q;(Q@=M!8Zq1H;f zRFjEtd;G=~@Cejm2r5fN-`X5+&ZRzmT(*bkSX=|@$x693CTldKtCb@!15<&&bo_tl z1O%!v8L9aXlb=-)Y^W1`A$)2L=@f8MGwH(p8`d4}bWhWPd7;DRT2^d@T(i~sFI?9Z zp3Pql%H;xAoc>H-AMA{Kv%`<1y;?HkqpLiRQ(}0KPY)jb$vjaCU~?IT)c&5M?PA@Y z_r)#^n-lz@Ty&#a%l+L32xC_;p-4<3+3WOOf6SMZp2hlmbXDZ<(cyQSFm`0yu2_S6)`;1_>vK8>Ld7pDsGZ6+E#MzPNtguw3GCaP!nC*S!VemOh@k_9@mPp9$m> zt&7Kmnpq;Xa|IkMU`FN$ZnNX|3Z(wmEDgkI13}`!8F0*{NMPUp|Vc zlj}%$d?^Cix-s;xXHmv;vb3zGs=p-c2z#?*3ZDH#R9xuM6h@c%p}%VFkIVy{uE+`c zViJyOHHW#_q}GN2E>%Cvw`=WbafSDgaRhex!GUhFYQT{ov>*hZWUN>uCkr(u5_f>l4-8kwNF zLOH?kEFT(F_tvz!IfyYx1M!2y-@)H7W;P6C&&}sG=&CRPg&##_)9Q3(7%m3zsj!y# zr{iswe&S>_*6LG}U?hYmogfwwAw(KVI34p-N(vW+1RVoMN)*$@jm^=;=3leRINUP} z78Ku)h@AVtKw4Q?C+TvA+fH_-PUQ&mcZh|)OfokaX1TFqDodO+)?fCyjm(xKR+O0^ zFQ=f~Is{mO!LQ%>RHGb02pxOukz6oA^gEl3jU}7))4xE%RfL^Lv6`rPwnJRjY zEsKt?N6949BPmlPn>tb~i?vcHk(No&#inX%04S4pYSE}@$5pErg;7?CNAp*S%jh!} z4XpKQNy^&8$(GzBe3TV7qa>SZ&EtjfZ8%wf-lO-1N*SoDD5Si?gVl-ZMfymmkXbCk z%Ua{C#5j5<^St!t>YA0M(&?hAPmWZb{U%9DOuz6I_SiN>z6W8A60=T|YZR)AbCHz{ z24LUGr=0qe{kNr225~E+GT$^I?%127zlBHF8YpYEEBj`DO)LsmBxkZ|D!NJ@Ngb7| zGH!YhHp=^xpMyJ&PA|i>CN~uDXhI^M@;onB+1kqg=SG*9e6rsQrcYi?3 zK$;}Q02tK}am{m8ctQ9f^io*AIul_$@9)X8MDyXir|E@BS3}4K0Q5iwaZ&4*ErW zjMou+%M0i!e^dq&O!KmN1e0q}SHXzfqQSDGD!3IeLSkEuK(miWwzd5+pNi1$d1*mF zf!GI!A8SgdDX&8*<0wG8NNUt(!It?N;0muRvL=V_EVzG=2VfY@0{vkkgWNvMjuG{R zW&gbad5*SeIF3(y6N%4aNw8yp(JZw5n)Gkaf2hL4^bcL;hXH&x-!CTRR~Y#K*el~;SP=%wv5fhYBeAqja9ygxk*v zZ-qf8QYAeYA4$O4vz$}~RX3K)+M|Bia}fkpSK>qqY487p0CYjUio6#e<9z!(>pXYH zxlH8!BuguChNNWlL9t8VIV?`{+e9@>yJ>#n3yPB`e%VVVE)p1UAN9U@txdZ;xK}){ z0%jb(=r_HQ3W5j)l7XKQggVLQZf}*3g>Uc9D}!CryLKwUGJ(}y#@tIxw zMsukLKQW$dIdX1$@)(-a#9yf*7Y29i9Mts65t=PgF#)VGqCS-{%EE>&ON(0{B`X}R z$U_-YGi-CA_pqD8*}bD@&sX;(c1g8_4L7D5aF0@f_YsI)paeN3*f3YN)b^X4eG`;nsI6F?X_!=TC!=zI8 zxX1beqthj)BRMLcyTN5(pJ-;!OAnsI45Hg|X|X6#+h~jt0>-jC9l^Zdv^TC-m)#d8 zbU@()WLj;*IGQ$%dP-XB4p%U`g6-poYd6hR;(Jp)mC7woqM+JB-z}6jxF^d=7&nbkkBKBj=emk&r&LC zErUe76dN_>Op&V(ftH4@`uzLxSGHjdA%qmB&7hrB-5y&0|kh)mI&Y<#M& z>-P@%pR!W3wr(M&?a& zVoZ%<7t!HGQ&L)~lbl}%IA1naXOX8I^wwRueH2Ut+GryKGE_y7a@~ zbmdq?&n7SKWV1lpN0DZdh2kiEi+>n_pWBUFjJ?zxRCi4uOJd$uxTrS7}jN z{mYz^WiiFq+}{-4Y`z&?1?az`K7LBj{gst8j3ia@A$-g_D1xpSg5$_d(xOxEEAG8Y zzW>AM36~!iisx6+r>4{iY_@Wps%KQvvO8%d2Etmq0FIUq`NwmR0lf@-$dVzz_ z<2%kW&z;z+Q2m2i;}xbHsl-pAdMLHVSyNxm=9Kz_rXOF{*7}0yH>w{hYv%1~wGvPk zFx!tK_Z(TrPjAEA+_jw5OO6H=mQ@5>Q7s}F(smn8Xh`&{PU=cs^$!w2Uk+HM5ud^e zvg-^zQ&D}J{u#GFN&rBei$tDLtZfw1i)~0Mni(e9v-^?rFJWgooy-_#Oyi9D?oU0MNNIEhJhJ{UE5Hg z>5}#nXI43yVYdBK2Wc!jcN}y!;m{sVB+I2s`!c!x$9i0B<>dqwjWlK1AN5tVt;x1- z8XCT&^O}zdrmRk668S5?{^&p1O?T;}E8V4c81)l#oh{Za?%&%jUDpizr%t9LjCOpz zX)CH5a*;6ctOJUe&nt>VKOv~Ab4xhU(IyvhdGk70=#c3gbW{cXn0W|zJkD`j7Afz4 zcyo+oIjociR}040LrRt6o)p{GHkicM(B`;3>09()DOK4k^7$r?^c{K-GeplI{oxkv zd>S0Hjyt0*X5r8ktwMk+yLLR~YkQF;;%z9gRJwU`+OYkzfp=SY+#EeSSI^VVh?DX6>-ld&kRh_*rx;~+c%<<`&OL}=rknH(09sHvcOP0%H5nJW$tF??O4B+A7CQYP z@fo_tI#dhF@-QqPp`!$n7-wY0%@8}Y*z62@sSuZ+)>#xj0WR|lN zgBaK)er#bX8d~h4o9?1N$mgHncu&PzLWmCOLb2XJ_rf%i2|0jO+Qj1ok}sgcpfQ|nj1l&SFzeK}}2vVp`eU%{e4Y=##zXyN+;s4kbX z@#!j9mmc}SIy$!Z;0N?r3{3H;mM1^v&b0gt!VwpR-eQ?4y814H+Y*PTTRPd27Ze$l zj`gQG6;nDx4@_D;AAeLD8}e)Zu)PL z@1KePR3DIWBOLXZuywWx(nf&#R0G1_Qnc>`k&0lD!aJkGfFR;1{VMAD_mFrn7$%(M z6D&q|6$~jO$laA6O1ih|P*9xE@8;3F9*&B!wqrlH@%VfEzCWt=)kq8fWKRk5fTei6 zIzG9-vgkbX-TX2z5A(CzV{5UK zffrZH&E3~NrH0?)wH$>2Ly>!p-@j+Il#Bw;4^yT$l3B`3b@lw>I-dCORf1bQy{;rgHRWhy$9>g((~UgCiGp-S*EPmqN~@ORb%IpVuw|x%_*O zN!3&-l z(wmnu7ujwzU~613a#89?8vUs+_nUP^6F?GFr)?IojOd>}h1+{q6qZOS5uzU*mII&9xIOG59KU*8U73Eiu>nY|Z5sYwP0I6!Pr zO;hM(zM#)-;H_RX&BJA|JQh1AI`kJGm8ruxaKSiDl;tSRfvjY3J_)n|L2QUFD?*^I zqEzq0992;P9TP%Zt_rB}1N1cg2k7-t8tz;Y==HxWUsi;-G6qcOoJWR>>!KbnNr)*+ zEb;H=ST~f#Thf78H}>=h_$F94fDG1)ogW_bHxn%R`Rh>9u9bBH8KQQzN{XlPITwn` zT@{!St>ntx>G84zkxc))(O7Dg9khs1z^km!+%|GNf~PNQjSBw`?6#*%nOOQ$faiTxNMf?)XB-h8Ao_at{s7vnZLR?At$hb;Ze zVrw;@2$SSX?8c`e5-~r*(+pV9Yyukw=zRoqko!8+`Rrx{cwL6xSE1Ua?Cat|8Dc>Z z-wX@kNWG-*O1^5RZi!p~kZ2v~Z=_2H$j=WVXaUQ``;hb9`^#?- zNRVsN47Vw+*rqHuxplH@HTY#j;pZ5Pfe&!%3|n*sCJ^_Zp(&IAa(St=TVblWN9a?V z#!lY{4)X+hQJ0+kC-CQh?p%)zp(ZVdF zCk(o&-=o@4zxkX;|9r8A1T|17w+7aU(f}6}74C$ zP$nJ)SmSvB^+dimyzmP(uUFb|wANvX7OA~1qhjUqDYWhCH|}tFgzZcpAh{14K}*7W zIKRj_zRv@Ji{?fRuKY5=sF0bV4}43nJoy)#18^#=f_?u7UOyld=1CmsltfFsQ-v!} zlHby+PGV$uMf;`$6;^-o|A6?RnI_DaSa}li$Y*P_%=p$@6YiG3AkV^Z&EiO>Ebo6{ z!Ya!*z0zthzZC6Ar!WuuFBs9~P9ofXRi303{rO$A!Ya*Iv+^I{$=5j2!4^PQ@eStt z3OlqXkI7HUISQp6JVkwPx^+hkz^rYwUrcTQzcs^e1~!VB-@rnC=zXM)IYk2-G==6- zvL_63XUZ*PK2t(NsG2-olCd7THdANZVaE8F%B<0M2t7Ts)o5T*0f}w=@MA2;EsEJj z4lztFo_M{+KmrP@>50P5&^tzr^)%|^Wp#*CPNXpqI!s64wVvB468D+J{^)xhgVD)Sik3hYwBre;`DcT-I-L2n zvVsQPZ#H8%^J%9tnhQ)bL>Y7?2~zD%C9(4>_>iJO!KN@L13ZOd(Y2pN%pz|5u{ZYx z*NGZ80pZ_zEAG%I+mnmtrxCPF|IjnS6~t(Rox{ifq|ei)9DcNAhV=Pe9^9fzIg2R_ z9R#YvAqCmusv@F+7-b)2K;Df+89h~>$5?8d8Vq7aZhQF`Va!-Ld9a*jLS7PzBA+`?$Te^VxqkkgqF@)(gHz7@5LTV zfYwydvVB^&6Vcos^S9H`vh7gQ0?})*7F2h(0|UsC*X%^7H2a~xyStc04aS?`a4~*w zVd>i$qKPFit7<{tC@2u2CFuWZuWxB#7M2#TqRBa=pB9gz$=Rl}e30xHx2CE+d!anb ztfxMOXCY!11s#u$!ycg_B6c!S*#4UXIW#0fgrh(7oDR+QtIeFwtaV)(%S85&xS~RT zK>=W*JkDH|YN9=yX-w2Fx4<%RMiJ*9r zwUw&Pc&KYp;??#OFaa`mu@0&UJCFVJ zp4y;{vV>Y3wc)2O9J#Gnki^y1S@F&fC4n2Mr9Yg6Zt0f3Wz0);!iMp=iIe}_8F3hv~y!Vmnx!` zd`%dPgf=&T8nN#@hF>B}U^|$fi;jWpz?;8`Mu1Q^hD+pcKPBa+K zpEcxwZu)|5ql4;m+^3`GaKSDkPQ56kg=?gRSr~=_kke|olBKTU7*JTz%chPF zP2b&jj*$4vcT@L(6nX)XiB2S*`wd#2d)B?RRg=HXX72^vrd8Q}dkvBwO3Jf{r&R$tg~8 z@qU>lCs&3$j805mK1%JGt2W#Da-VJ5zH4&$aN(@1gN|OA6QvV!0S7VHs}GS3#TGK3(%P#Ey<8MdMBPuV2F10* zlERXI8ivn8>pTQh^kklbe(ChMbUe!vM|NVcsk>-_Jn*zYKeT9rd~x(aQ-<4hVB=A9 zbb967e#7yclX-7PRvn1Bp&F2_k{;-RIt5#pC>uvuVF5f?d9J=;UcOT~Vh*hwOc_*# z0#~4^3F6R!Q1|Eo^Eq#F8|K^jwEtL~Y5##U#g7#5Ll3Zf9$nXs8*v>!_MxgRSI`yC z5jVu9~f|`n$vzfWqIN z8>%;zPsamJ>poQLK6|TG+S)Z|>pl`muA_ZwAinD)it8k?>!jnLfIy27Vy_-GB*%xR z!`?9FDIM0SRr3Nj^8&90^8(3frIfwAcn&W>Nb)&MQb=4&d!MLbLZ@MZXOI`UIc#w& zXGhobnCr*%YTEL_>4g2wojDC)6}xhajeUvyb%EyXcU zoxQZw6-Pt<5Y{2nW|piz*QJviKE8*Zla<*7SC1}S&kTWOfh8=^MjKQV2d2TX4Bq)$ z@Fo7zomF-Xu5b{6VEv_Qd<#2au8ZSoFSjwan%yJZA=ee%ND-}3!n$^ZoAURB@kCaBXOA+LNj~SySud(lq`cphFP2Ca*1=Yy zhjog@cYG_8L|c%FZ7BV<9381 z_VyV^KI>3lvp`!b$wl0`n_DaWTrCm!gBYrHG%5diSV<^7TS+LwSy0NQ7n_S;Srz`s zegiV}J4fz0gnmhF?W+pREOF zU`@=BKF-aS(4xhtV#5)X)1s}ZLqD_ViD(#(m@(VEiL4(tefA|9+m$pXwb#@I&RjQ&%)+d#oFvfI!js zn*xbj^WlTw_h{G$S!m)df}d!)>3vZ9@vqA(?+;16bP}#}`QDe=l+ zXoY!YKVwKKF-i3|$4VStVcC7oQ8K_r%wALGb*|~asY$fdv(5}{`+mNWWO=$cvd|=)W zp*RnXB-l*|1o9JRim1e`k&)lP{-a z;TKe|2QY9PF1k0<`_a6CYiZM!B`y({#u2K5cIpd)pzWHzK0tiszW0knP##qd zB*&Ku?&m!R?0YDA(P@mP4P@KIp4zsSWrb3h?k~<-PjE08Qb>u*y@2&eWVTEHrK_NMxICFSF=H&^waW5Cov9`>%gzRvjcT<#26km%DRh6VF+m^6g zQ28_EpuELQ)`>4wY0pq)ab8g=p`PL`fUJ>WzAEp~^t0X6s=VG{6T|Cgnx`9)`vvC` zhV!G3{i%i?gxKdEWf-R~v&yBdn@g*d^Lk%rgxkGof=xVKQIV-;On%V8& zT=@>F@v}!uF1aQ-CG0iW+D+_!+y408&C?j>1))mpl9r+NtWK2@pdY z3qWauH~3fAxU~%>b0w2>F%V|y<6##bE6{Iv&BZKjIpv42##Hqov?X5NmWNF1j6KlM zZ&f|$^trJ_R6R1|*UARGNu-rWQe5)he+`F_98ka+jw8U1(tZWC=`Vwr*>~Bwx z$k2z=FlnPocFMb72k0_a#t>%h0qBm?inf%7Xu4&C9ae48L$ShvTtye`vSs{8x(yU& zNyPZBz?D=hSLp29h^7NlQFfU2^!#b^k$ z1pc3ilApM>CBhH>9Q5-pd8#HXH(TsPiOSA1%tmm$eWLfwq}2EbYq^;iJzggytdFx# zEt21(&*|~Ay8PFW+q_yGtw%v_|2nRW&(B1KL29I|WWPIk737Sih>~d5Y4%~M9H8F% zwHE2rYg(Q$UdX@e^rQ=76_~z6;KbzQY@kP|sj8OLYRr74-?{RB)#*b=O6TAk63Z;+8ZZ;i3j*ZVSEx}Vx&C`8`lT^t&z(qhL4PMlHh}d`LIGzV9 zeO0o^DiQAoid&BR-q6p;v)DwjBS(d@spl6m?`t^abfSv#i$#;r-tWe{De30z`~W?! zkb>1r!@_v!tW5B**HniB-Xu=F1%HmA5}Ph|wNS|q&!Z1zY#Hp7yL45B{@6@KJQ6>m zPpYJ#jAUN?0T>3@0IH*b@bsN6uc@52ql09+dr~H>(pe#ET_{th6(#k-)857$tOKa8 zS)m4pEmR*YH!T(yrdZ_%pJ6EDk9zclQ*i{kKh;3#rhklNmv3HJY>d#k&=+*FR?*St_733P{P<`PGZLzt5q7=uckhyd0WH{HjGjDR#L>xe>>o>}lQD=s|IrnO%_CtIWTQN{y$ ziPZILTO;H1uTRz18%C>STMs;B?OjQqN{dAl_=Uf>S5fS8O(k2PM6EYQNTQuGeG5ho zD)4qXs|VC_oiG)6Yw#Eio|#ZO9Wi;Okay{OTRe9vP~IRT9Y@;0<8fP)Etj(XSD3Rn zU%G1K?%?y))gw93!wD-{(P5JvrjS!M-Q+{x35k%Y1d#DpcpEjlYpLW22IU(J9Zxy* zMvqdiq~gD&lItB#Gh5Tm@UC-S_EYNCk@90xE)oVdm?>?BUvQ!ORRr z)sX$Yi(ClD&}5&r`b2ycw3!^S%veI4v<$R8;up+$FSV?*7-B~Mcp=Sed{lT<4+$L$ zqQoQnu)wr>hHsxSIZG-S9Jg`Z#D*OHag6mqc%8vAOrJ$-4969^?TWEYgC(BPrNX33 zF(05+{mo}xIkSSQgH~~tu-)QmR5pg)(p$I;@zE7Gx98b13S2o0&9jPCm=*jd*xbK~ z<63yeTQYVijitTBKd`^>__LnBS*~QYr4nD7>@*zn%*0B+JOWSpUUP# z;VH+WCa}umTx;<dH!F$`IJ2d#OFt!Fn9I2?H248i2UpQ&#LaXvDYQ!cHt`Z8ZQ z8I3Rm4d=b%%GAd{n%sDyd=s6YlgZe~M(+|MVY~Q}XO6y~tXg!7snGV0n)YkIRJ0hF z4KaktZmVhYp9RBUo)s{`mjaW;di3-=hY6WdKb5v9P;=ZLgKcR#6nJ(P4=|okXRWl$ zPVWX3QQt1w+<&FAyso2+@LB@7aVTPP_68TUM2i_qF&FdLVFCt+QA?^B-WWZoU?lR9k@6vZ3!TZDl*inr}XBR0RIB;k+{4*)1Z#p{itAe<4L<|*#1=$w zX7W;^BgE+eDRuo_!6(sYe!#CIW%t6segu|#QNJh{PbieC1NYklS}Y{FN*X-r5f^Ao zvKz)W61REpADOd56(W;}P>xXOjIiW_Z7(>Dea@L?XP`9cngR8VFC%QJEawVT`13gf z>?N?{vCtHA9i+Z0^b}1~-e4dJnLTfymbY|gC>;tq6ozdUWWU(1jxko1izaZ4HdG{SVB`KRx zH>&PDVqLKhLND4KsxbWwu*xuU-E9aG6xwcZqr@s?gjGt|a-Ceci=Py;nV%VlEsdV^ z%HaFYnQ7}Zx>BVJG$ciDxRB)AqTdZAoFc>Z`nRBAO|7f8dw{1?&Myz2B5Rx$b8>$B z?l8E={|KBiEL(9GaQa@K!$tX}YYhf_;dWAadz$|A)XHuO1&A2>%)_qd#Mxv-E-kce zoF6%Uw;>mH*j%pUX+3D9Y+0KyZvW)B?N;J>xvcukMA)YFG26XQj=8}-FInUv&z<}O z9!7rjFNxGcHdo;BH;4OAy1^dBEqTtj2LtJ1AvW$0Z@i)7#a$&%Is<}vG?xo&1PMM} z(n3U4G3p2L(JUqrZ()0i))vNmXyFSAm_Euw_=GVX?dLQoj3lK)Yb@#RJu1^*z_CRS zeMJvdNxctMD#{_-2(TZiE*B_Ya?)rFo;G#2sAxxG3`u|=hO|hGNQm1gv|&P2$SQDh z!yk#vk{;s35Z^S;=Pw4pKc_K1=ow!d29M8BJP$4`c4!%Ggyu=GZw9WRuMQmA)m#hx z_dkVPZhOUy9t0@VAM(En|E~X0_&-_o(Vq7U*}soO)dx4D>O-O<0V61Eo2b;L+!Tk> zD@pYWDfHjI8ILxU66v5cSjaR{ws&0l{!nXZZ0EQ)^zGD}oJ@C^uQ}bX3J90q%XOoI z2!ROBf})V#lMf;VVSq5D(V(Qsli|+azvKurBUwqe*#^$%4j~6Td*;su^m!#kBOzU6 zem{^RnJB;&IFQ8U1*U^N8w;@+tR*q=UGU4Nf>OAHcQbbdELlQ3U*3t*+db=nddO9k zaEA(U=q6J{)2iiR`k%@%7+A${;c&mt@3nD^VZ59PnwjJMbN2-zS;9ne#W0RBlY+bl z$Z%MqB(ccrKcK@&;fbP$7(aTf@*!%`)6V9KC~D#D6?ASgj3A7P7+vWv`A;|+Ih&VxMG{v@fb;JCq=O zuq>jaz!*tk=13QB`^-^fNW1*153A7WmGn~D38qLkFYglR8FeF^>w^OHCLiduCD1-2 zO2UbvM>vZcvyr2RTMv-pxNwgj=ZQip?m#8%;w9|B9l2z?p8kJ?hkuV8c6mgUiZwBT z9Vj7(9zEFZ{2N_G_W~jZYYD{c=`hK)EgsC41UtN84gFpV{XU5}6D*3BpliG#G#D2Y zNbcCcn{6cD=}<@aLQJ9xNn|ROSb?Qj-jjaElg%F{lY#fBK$nAWtdGb|A&C`BkQ?3r zT7(NRE^~-V+%`GmHfzlm?U2jAVc`24hrbTwYqe<^ze2HOj^+7f1`*p5>!;nvs#zC9 znnw_a7DkS=9o1tgPL41gB|^W0_8IEt0$+wcB?AQwk`U0V!^W-{;8Zu^^W0*^-EY^{ zEdIyejwii_C;fqhJtBmCCUd)R2|IWRyHJWn5arJJ@z$bjx>GTnltqwV4n(VU~i(g{~=BoQP7f{R{ZnM_su-1!Y$r9;9Z;bBcf=VQ( zJ%pf%K41q;0aXYm#!X)LAt_3f-E?ZgZAW`3Lj)O;3@}4%Dgvjq4AyH7y7GA%Q+pQT zb5uwWd77c#nUdV?f>3tQP>PAAoUw+T38|eikh#NWt;I1-<<{dNY_X;kS{)}mQfhKM z)~Ak#47ncKrWcXB9bY_(D+v_Wy^mnjxr*Az=Hn|Jl|7292sGDQj$kyo8rtgTkjor7 zJ!UI&IF;7J46S(n&H4XLR_0KhUnaze+5-k_{TS)>AsSGZE4^?cG<|3ZaQ2LDT!G^C zaTzM8kkkN@gWG13%Ag*JSgxt@ZE2!p>tw^fN;UkdG9ihSh`3gr&#uNX!r z9AqIJhR+p;BzO5gCpQ-V|2tU}o-091+i;M(W}BDF88W3M`jh-Fj^pnCBm@2bBkZli zqG;due*uw@5S3b55Gg5H326jG=@bzGk&q54iJ?0Ta%oULGG6%&37`(Qw6_+RURaB*f1AMA>y`0joMrYNUc9U zLyjC=kn>tL;-vTGZVxxfS=oX4}3q*9#D^nwt#A8 zz6bFP1n0IYf-Ulj??$j%h^jG%f;9SbGFr*OB{{{i5!@CYYRusv<9@cx*0Y24+>4O6 zxT|jb1{Bj@`A&`%d^@*CAwtE%U)?ww^uAx{y&MNvI-l=RM6X4XHgg#$f502oYUNay zb44zhZzWm{FG57Oewv(jy6+RC6+=>F`%nRsAYKtpkz;fev8x{NhIugBCT~%;licfnivn zE!aDs?{UPc#WNk_YS6^MNTxD_(@D;idvww(RO)!ZYmv}?wM-Hkr|}#dc{<^hZnd^Z z5!e1#ITvKXTzNif#1R&Dn$4LY#(_(@7ZkvXc}A+l{uXSSe(569{bbpl0#13kj!MZ^ zD^?myks@yW|2qEJ;f>x!GCMKmGAq#CUwNqB7P$@`UD>EnzY!}^+7INu?}Yhrk=}i{? zl!(%vAkH3FhcD8yGLm4tfyL%2;n|F;^rP;0O0pwVv^x{AtTGy3Ux#&SM7(5i70?Wl zV{PYp#*A0>Sw@@?Pz}CzHS_#?HW}e^LrxCW5bK;K=d~PHWT#&(7fP%0jtPloJvm7^h>%(?PR z%`8krUyfs(j&~(kEvV!AT^XycoglM;&Ae7ETjRWn*DjvM zHmCT=5UiwT#=uPh2}x89;JRlo@veFg&*iktOA;79^s83cnJZN}H2&CiAg?;r6}2J0 z)@FwJPeqghaChJBXt}_P=ul0C z2V|Q-1Vfu=f7Eg0_DL95>Od5YL8D2{P!#Q|yib(IlavMpf$6KtnPf`lau*-<1UkP+ zyP~SUEJym%{(|Rnn=a8b?FGK`#GyTgI7mi6wOHfI;0KlAoRy*WkDHwor!TPDU(twf zy*b``(8#{?u;JRqEPZTII61A%B_zYyeX$zz^P`GOAj6>pYR7~-bty9NTiB%7;i{20 zheHW9pVH(N(cLM!Qmq;R>dzplF2-{&COx!2hi8wU@3HYR_TLi?(&MZBA)StH`7Y(h z9=|6^QVa+76gWabQqOd@j!Q+OE>bnJwc3%z*-`7*k1Tg_YgYC6?* zHd$(T@!zs6PtEU9zmedcA+^-i-pf`28HLH z`|h~zsNo1sS=ud+N+qnk@S;!tNV@8(jaMoXH;@i(#8&AmKK2rsWEdPd`GDgD2JrWf zNhMZwNHOB?`zrZe*-;=y6pLRfIOh@0`&83QunPqi4J(-!^6Q4Yhs$s#!8!Uk zqu}^sHJs+Du5(Vr%0uU-%KY5H=0kT}_qDMO&$;{>+jsAXbcb-i$i%A^@)Q3y$92Ju z#E+_pw{uPbTaGuBFZzez7!KsHY9m?u#I5;T5&b1;ctaB7n*=)NOA)`8ioa1(8*aGa zReMpMxK}hd3t_v< ze4)6qv0Mq57AY{IjdMK>qiSZ8v%~twhr-nY)uYp>WdAlrz4n9?%hESQx+8CY$iPLI zOEW7Q(>unu5N%A~wlM-}RE8*wH=Hk+1GU)26)ybFAmL9YsYzJk&Ud{#h31wLb- z2AIz{s2k=p0h)#ZK9itLn9mgGT!GIt=u&~t42ZG7XBNa;;4=r3Eby5JsTTMwfD8+K z7C{~`sbNq!Olky_1d|#CK?|f-K{W+ZYoLw-sddnJfz$?Qtw0J1Ix3Lb1Q8WVZGmVD zrM5v_g;F~p(L$+jAjLwdUC`q~sXdTYp-(Hw4(ihefV`oNpFz>k#xJ0E&_+1uLw;j5 z=u>`U4X8iAu@*F+?^6#t2lZ(HU4r^Ff*7GbO(0&VPcujo>hlSt3iW9L8A36~GB3nJ zfm{o*_CdjgSZGjuA=UvXrx2?hR0+lE0DXpHAwa`WtWMA}6srrg55?*R;lr?cKvVz> zs~5xu!|DSG!La&4_h489pocK2deB;a!4RkfHF&)H`*O&nk$ZO05 z-OX!^2Sw*LCV<}MHbOujavKvtpK=?MFi#_COon_|Ooo;_8*XG?XtOX}8KIdcAf`;?4>Ojl+SoNU&e5?i#J`}4FL^U4F zDv*f_Gncs|@KD>&6zr<)XAX|n_Ok$2YWrD%hqe7|!28;Mc3>(UKL@aoj^7LLLmfY7 zu&a)rnkzV7$L}S$Qpe8&JgnpA1>V>3^9EBr^z#MtqFA%i6c`{!=?aVxq6`J5U+kd! z)9WAbAaAi+9$%n}<+pq7o{x?z4#K$_$HRm}_RF}MV~4Q5F_#WujWzcTVTG7Cg|McW zV+XQ6HJ1)#H8uAQWVJAF3S_l0#|~n3FqaNubvE}6Vtr}e6vXOfjvdVEYc3tk8ffkt z%o=LG?a!)a&L6<4W$qBbs%u^nz?y8n9m1M!&L7J9-rOOSHP^f(loe*a9m-k^nDd9R zmYF+*u~wOvgt69|Z-=oqn)8RVwwODFvwkrz={QUf+JxQ^G|vcU?J%DWXYDcPk6;}z zcZgseF)xW=9fT&+W_;m>bf+tjLXOfDEJnR$cQLjB9bW{x6@FCa1g6S3m=PIX4GiaS<$zKYpVhCOT$UE~d;9&fZBZxYC| zyYjZuhv0D=INUg7D3WLP4j_B&&8zVL)4|^Vjc9%l8pgle{iQG=mg4o0d);P|v`@+W z%B4r2sD(|M ziSSj_Z$xv+@8PGJA25e1$PVZKfHGH|vl=*Y4cN~;kb3y*`V|GuSqiSGhJiGVDV?9< zm1h!;UFveY{3@7*g&8;Zo*&TEdlizkDG-puuBeo5L8zng8PYU(^<&m1IG~6 zIsV>Pkwnj~T^#u=#l8J1>Mx;z0aBjBQ8+@uGD6`PpQA?GY@Z})#*~URu`I>YnMMly zCC`5SMuWoD&4U0oX}hU-IkVbB8E{`YD6JT>JQ8)qVn(Kx-KMfD?`=l_P7CGjxX@1MEe1be#var&+nR8$whKE6#`T# z(k}gvF7tYVJX4PUsgW=``yC_s^Lz3a_9itR=4^Xvc3F-YNDsff|xa z9o?j2s!aZ5*766g`$6lXJC^P*I2!!|ar~}|-~V?08Y#N+^Xh+yWE-Z}Ln_kz>aRit z-T|wgum8)E{y%UY|r} zu*96~OINS65{V->HToC`pbid>6E`1@5~vB1q6Q>7n_;e z8-^EtPTgax!=IkvUzXw5>=&^M976t(`akgc-;mc>u(0aPy%Oqc@0Uo&lea=uO#^NX zNlPIJt+ee*v6IN>p^|2}cQ~hY0jVxRHSPEk=@{|?=qro|KwCe( z#SBVmsiTq4zJjkL=nk-aG~Y2&lOFQ<1RbX}jxrG7H*p{jpGZ*f2I)eNd%WkmqcDh? zY+0Vb=IQ025&0kd_g~(z>5v)!3>AIz!>?WTK?RYC{1egDNF>=20tZ|En3OIVOxynd zpy0|(IkCrKm;5sVoX+Iu|KZucTlU945)4DyAv?M&l^AgL>1vk!|JEeu!6XCGSX8Q8I^B7SVsJl1pONX{kqzCu3cxmzVge*KYI-`f3m0d zAI5S{yD0ppWyJtNGNfMk<>-w6ld1#Xv3mAMT!eYAxUAUfA60n2OX`;^UtgBI@v%FC z{@X0k!K)yTt7(`1XN3KmGFUC`kAKGpTt!}IdCSjrVSF}y=%&7tpUY~&asbg&vLIKM zwA7&=(53fb>YvI0`|AIYt8c@eqIdyplHwK*4KUGFEB&9~;g8cl;|{Dp1BU@9HzUqoaC;!kjx(-7M$M`mE3VX_5y{^WFSGv37wBoz2U|G$KgOK6Si!{(h z#(k%}Y{s5{(%bKF(?a#{)BG8WiSeWW#<${%&$2L`sIWPEOlkd&Qbg$!qyFQw|5Loz ze21pK4_v-rS=!mx-gjA;sQ*f#eu;_YyMLsXze7v5HVP|Q+}NkW&Sx3Y#bRzuJ|j!j zMD}Isj2hAdmE_G;foI_Ece3-Z2=0GCj0-vm^+5##A)(iTk-|R?XCGTnd`2>VE#QCJ zMaNbhhFfNoWBt0WYuUevpmFGs1>_8FVlKZn(&sF%^Ls4wlOR#GP;t**=AI)gcuG4| zbUnft?^lTUE1nZVy)wd=#rGxi*Lq@$+y1agY+UwxYWP2=y!7hxA!{5T2t!GKL;JI& z$?jL4FBJGw9MXQmT5A(uYxy(Fq+K|KPVZ>{Crec_|MWcR&qTMU#ohTQ^~?Mpv?ewT z{3&^*{;b*miV`roD?^w*^HK;RxWQiT(;Zeg*=+l1o62V0)9LJzcI8JSjISU1I|erSv3fa*iT~)7KhyOoh3~Q@ zy!hmgyc*L8ev$-CBlupYKcs7C)aH}t9z$F_KnbmpTgU=idplR#!@yE8vL9mhthQKP zVP|^|e&(;QI_Lh7R(}N3O=tClIU}v%NV?$={hylx&ZPL?Ugq4G#~Jc1+M_(vG3JZ* z-;*WGZj$fO(w}qbUnalj&VX+Or05zxa2)@kaytXK%zAr~WlA z?tkah|6<=HFETFv^qfB}XV%En*^Uv6D(P6hGlPsUm#2Bu<7+CgK4rI3KQg{uC^2*x zR~~`GL9`;iV}yFwwen=$Z8B~x0%wZv1M!022XdJ0+{;*NiLTWrN~m`#W39WD?j2@5 z8{0YMO{l)eZLhJg6f?c$9jiIbI~7NfICeNd5g)wE9a`ARUO!cg=(14U>kBsnAolxR zmXG(`Bc^~i_O@NdHIsdj69;K?M=1;OwWwR#Q+LF-+@f~$B;>Yqqs)L_`+d@I%hnyx z+gj(NleyMXiLT;(hG&zFrBwBWXtpj#dPHXH*ZQHF^d<1=qQVlT=&Joe*V89WcnN^Y z7K`V{u2STI-?yp4^&##04+q%;DzFoaUA_F%N5{h}UE1~K2NeTduoIhI_x#gptQAt7 z`brm%*&TYn+&b3XTe(pR#}6Pn`NCyUEA>Dx9|UBaTO12=%q@-s`Q;YJgWl#ACx9|@ ziy@%W+~Pz~Gc`%X*ZyJUh%JxFW8gD#z~JPA@r8cM0&)A@i2SI>o(mwFG(Kz)XBr<4 z=uR5ndC-IIa}hVYsc<5|-SK{Ae4TU8TT4XOuKS5CSq(mQX`~r zZ&eC^ex_*R^l1n09o2fsIW? zs)`V=+w<0w$VY?+WLJjX$jSb`nN5j&? ziA}5@2bKs%H>Puum^iQ{%23n6SGq+h5iUJ7ln9_llnN2lQ$vM_>Jgj<6Rn(GLIUQt#=9A6AZVj$l3daxrBdym)=nY|tyi2U9o4n%3M2PdMs_lOhG z-0Q)GXzvxhfpF=q!KBl@qHKtu-WoPURIeyIB2GQ>)wX{$=Q|Ik1tNCadsQ-U26d92 z!!I}0pS*JLi)MI7%Ve^}_EvsG6uzvc9mVe+J&{SoV1j1rlOGX>@2d?0QT#ykaV8O? z2^Ra6dn1x?e0A+t{C?4H@79=27}=3>Rf6z(HIm3}yXde?588!!HXivZVR)BXWaRdX z==@9%x`j11Tlo<%yhtrJg5NsYIy0QcWP)u+uIe6KUS0Xk_M7O$cSkG>Z0sruRrldK z>YZ=4W239z9bI4GWsgz-suba7>dJ2&T%+4EAJZ@Fuw~1;iNQJ4OhZZ@L>pxgQJ567 zy_Fr|f}5*phwqOUL z{%|3IZRjqV6)vnc8RDQ44P?+>T}Wr6ltr__71W-DI%q_vX3zrU3%P7MvS<#tu9|7M zLvl3oUDAyOF?N&!S_ST-P9N=%9!>f_iETlaUGP3y4gOj^CEDSAG|&4a_5~$&m-}c9 zc)EJQ+Y*~-x6CyflP_!ZFr^HU;;aFPqISx`Wq zUXt2E1c!?zIup*MMW5@S5Mz)}tG6)1F{F(yhgWG$!W?X4fPC7=3o{&)I_OIH7p*4+ z4vsOY`Ly~AUpaJi&>!I=T9XA1E-^}ZHEIiy9Dt@U@D9$b#htsY5TlV-qrMQ!QLibS z1-I8q$#>9?LFOgtEYxzKw9ticA1!*QgHa4=ez=wijKfiDBp+_5MUh{k5Tlj{RX2&{ zsMe&;g7a%-<(4SL*ylktOj0-wHK}vp(ps!}C2BFR^Prk0dmPm|)OGM}t*pWl&lsot z4!t7eW3SlaYO4n8rY&?LhJTu#A< z=tel1c1n>$KnxEw$!LL?^Nubx9ImdNRZr!{XpK7y~mL$aVK%u54 zw>hhIsXO6d?Yd%z$QT#sxbXr5C!Q{K6a2Dv7En|Y5W@$B8kvxAx<91;1iz-uT3iwm zqXrE(H34&$>W(1bcG|I}{K+xv&~P&oG0q*`kuC@-A6*Z@gQ6QClu&ddLtEBnXQB42grHzd#INZiA4Exx(#`YN&7rq#Y^@ARuE< z;ZDdZRJaRr2o>&zT!abtKxkmXy%0{Aa3ACjOt>HN046*D(Sr#OLQ0|NAxIDmJq(G1 zp+_LuF!U&-0)`%gw87BhkRcd)0I^b`cI06h&s=DXEHPV?OwAf!;YMu=bm zdJZCAfS!lw6rdL%fLQ^05#mySUV;P_pqC+W1?aDk>;m)(q@n=53TZ1quR(?i+-4y> z1#WW?iGr#mNMCMMGGs2dDg`2#i+&4{&qYT=baK%#5VKr#EW{-j9R~@@MaM(pa?uHp z>|8ViQjv>JgtX-nnVU#)UU@Xq4G-4V{=lCZLkA-Qo|`CeYCIb0g~w|Te&ElJ5rYv~ zn5c24>Ix&^mfAL@+lk;)%^g*6s`icrxL$il3v8>kqXUl8+R+6UYVGKOyR>%n!APwg z12C!fjuDtgd&d~8qP=4Rw$wQ@2G<%w01PX23kAXV5IhrEg17Od3!LA z&Yc}cu!_!(6WCT~#|0dvv*QLX)Y)+dcj@eSf{{8q0GRaQj!&w**GXwdtwG}Msetfy z6ub-296ardBTU2@0#b&M4@IMh&}Lg>bfoRo28ZsXT`MTXlp$LU(WoiA9%O>Yt0@Dw zH&aP&Z@3CkaHbv8bTOr@;nL4gcZjHft@Gqu3011Vs?tcPN+JQ8i+@G@-s1QHv<8(} zd{|^PEx&M5n+i2B!I7|T-6;Lc=GDv9+GYYO=+Zu7OnB7=T#MS$ea0ys1s%NcMbz`5 z^U_X->#k{^mp60(nA~59I+>U7LRr>Zb4PBqV-F^6;z=LstyZuJ%*rlmcF!${9QG^szC&f@peHWcJT<3D_?olNUk7x z2}s^9^V$O>S5|rXNUp5!ceV)<>JQNVnwF-XBF{%TMad@<^5e=AVf{7zW$WAig1#jNIM!iwe1^Fkfx zfodOxc@f2w=J`8{KFwD}6my%Sg%zust?c7rB#v+8HH8%4H(NQza|DfS@ZO;{4K3>% z{>ocTn>A`=L7O#bv_flI(Y$6KFCO&OC0Vg4?D=q1{$_^6KhCe>M9 zr8S%ra-TS4G7dHHBuKcKvT^Qge{+&cyiwhS6Y?;oAnIqQ+l8(yjARuf`CMDUApvB}5xSs4|RQ_`A3j#qfek5q#D{6!GtKqn}uYK}LKb}^&5L!=|VIea@} zE10YfOra$-Eik4#FQio7_e@wRtM8eRk_ZFh`I}_cFVDA=O$VPZCNCEBL4^>PQ=6ar zK4$GO5lPDGd;T#gYwWr6Cda?FqZ-(T|9b=N_uL8iD|q^rMrqa^V|I|x~J)v`cb(qc(p zhcF_%Z`>a9HE#tch(NaRvOrMMVo_gzX(@Wpl{e9qKucNU%i9l)=FSk->=Ah5jKG4j z#-hM`WsO;Z7G;fj0XOBhs{(V%j)><4cMv6g;Ub9mK1CrVQiG4}pQ;og?eD4;^V(Ue z72mY8d{p#p|5Obq2DU$|R*Y?b_E9mVeZEq$pnbktvAF$em127P)yfX%xRDutEpCmk z{CfIXO(ysCv&K#CKhFAM0?}XONEC|Xj~iL!*L!T5-Trv)ltzD1B+NNZc!U4Or@yyvi*c8BnUGX< zc*TvZ^E+{Ctn-7pOW{+#t;2Y~(1_eSD}J@a4!1aJ=LDv@uS%@X-ztnh%MeV6S7LR3 zt1$d*_IAR)5?!IKhwSc`u{@N9m5TAP0LTVd z^At3*@=sKq-aKnxziONN+NOO+QLkL1X=%7mqAPZV19j0lUDfJhu)5yE=rLcvS(yNE zODKGmLvLJlSu}52+XpKB2)BaJj(i?(gQuh>Af_Tdbe1vv2Ub#bR`bm*H!s6wX_+6j z$ZCsCHnS2QwQcXm(yJpGEdiW|W2-kZ`t=LYgqkk88=U*BR!m~gFRrocxoY_5(^nc_ zoYd9B?iwrc?9ui_Sq)TJtxCG!Qmw%#o2;7e^WHSre$4O?^)$V??-w7l`6|xvFsOd zJ?YA@Y?*TZ43^!Pdu_F{)_ymw*^Ovw7hZ(jbYlm`7}zRbT7Mlhd+@Q;5?;)t2T1}- zN}QTv=c3qkm#m&w8eX&vwX*dow+gc-bPU}$)LRQ0`0lFbKZ3efud3}q`qQ1U4U zsZh~49u#>XWA>(#Em>(|@A20UOTSEd(o5B=?J?XRfO;Jn!;Wb$|3}c*pp2$_t$}0@p7o;mmvAUKr56x8l!DR2*e} zTleLe6@Nma;vnnW+As4~{8fo-BSl~4ZTWE%6=ztJDX#Ak*%_#7jyBg1(3kG+#V@dN zkIq+l;TH#;Z)^4!i!@z(4S=nq%2xNNYMx@As^*S)ZoB-3u)Dd-h~IIJm>S9OPHtz4 z8aR_g2`QFFqBD?|r{J`oXR1Yf2(ZL3w;&D@E6SYa z4=j|lk|Wog$miGbR_<|p=GB`C7>0Lw7Jhij*&;ts{h)khVNJb7aIUUUt+w*y!u{;d zQ4NLNoIGwVqdfSFclnV!S|*)`HZq#|U+=00L|f)(iMp4q=U-m6#&!9u7e)U;D;L@M z#f4a6750k3$@!qO6$jY3(@>V~SQ0nE zboH|kQY^U8w_|fKasyK0ico4(M%LbakJ@PVY*Q;=r2nKJR|)VGOi*?q-SoYNC~)ws z6}rtIK<3J`p!$w}vjtqM)@m~a{Q0$IS=FFP+f{v+_b=LFWugioN8sh?oB6D@yR%Mu zmXTwiZ=o*c*k6W@`~|tGAOi#Pp62TBD%sWs~U1D6f5~ zP#7@5|6n185MUse<_Onh+Z~f$3BOtN(rRYFN8olLgd_rEsa8jY;1J^%fgA=Wdoxal%(6Pp^;Y2*Sc+s zF%3%HjlDN7^(4Wg>Z|+5xI=ScTrRoWh8LxLZDAK-@uJ_S?d&+O;j#N~D$ zGn^nUV%~$uErg;D49sdePs-xhEp!J*BrI(SYlvg(fK^-D_VxUu1Dh ztbb}%28h{qNtU(dURQ?MS@<|k>E=%;uUl*!Vwc^@L%f#JC4OybD-*g_JZ@ntH##&P zmE&o@E~Zc`X0I~(R*TpzXu^fYqWR9Wjm-za>wLC0N3X>2JW!yG6MC2T>o7qEtIVCf zP0@!j?uTmh25;TnT?Yr3Q78^ry_Bow>V$jQC{TNPLOUfES{*s$me)z^qF=wa_*9<` zSv~Y{8{7-aM>1$N8q}WF=-@@XG_9H7Um4np#a$7dg-9*cbXw{=FJntm;)o!fjM7RP z+{2p414FU(V{+Ik*Xz(jyFT3NY?o`|2=aKHlvlnDR^>TrFBNneC3~-s>gox&Ca!2Y z06FK?6f(pq$ay$0?_$lLl0D{G4I|Tcgx0&L3#V;M4qYd`eRAZg)K=O5Rg!Wk+o)N~EwqX2Ll(ZtsG^@ z>mG2jOy))yk-mP;w?3!Oqml3AV?65GfzUPszx_~2+vlJ)YnyLQ^H9rDgubKa6|+KF z`hnM_8z~$^PqOBxfQVkJiwJf1D`m~GDRuc2C@}oC-kaDl-#jmbNgKP~%Yo4_Qk4GN z!)whh>)YM8Td>^G4xO=~b%_iy5i5RfZ^lyF9_P3o>TDELDX1&JQkY@}SJ)j40FRt* zZJu5~Sg7Sjyryq8a=tRw?ac`l`6x&I0Oefuu+KrYa^=yGn%Z8de9Y^Y&o%RNh5{c* zY6-8LQ{T-bVbYS_NV(>8W!*ux?yHU4BgczN1;pye)nlN(tg&zK{OU`MnwZ8z7{2(! zC9hPJHuA(mG9Wk9l)Q%{PwSb4E0B=otB1SyG4!c3B4T<}93WqrEH7w#u>hrekj5dS z6;x=dJ7psqQuwmLy?J?ka%=KtUT39oA@Kw_I@F2qL)rU}6?a{xY_5+y1vihSs<7w3 zdGcP+B!kdS>zZTvE5!=jOb!Yy4vt}l0pw04i939w%yVyYdvwBSeWS#tybNd_y?o^D z&e%9AE!y@3cCf+A-Z!CB+)uH(dS}3CgJyUD?cO>sLf!?s6t6jGsC?~nMI|=(pGd!q`gSNErEt^o$ zDrdFRIX9mEan+k&k1u+-7XYk1H;b+vW>xg3Y*UPHu)=OU$JHB(`d0UGzm{%dvgvdW zLNj~EQe@3DS;^A&mS-lR=4f8O*4Nd7VdY^$6a^PSSdmMZ;!eZ7IV` zzUeTY3rE{wSTs+FTuqD{RxFlN6Ag%JHW!AlpYYm_EO0Is;&hcB6`?H*zr@|Xa2^-e zbKvN?;n%n)MEh?%M}s^870%-~htD6?S)KR<)l+O{p#dCb@lrlUZnovI#j=J^DkD1k z;ppmycPeg!Cy~IqMDcC!B^Db)eaVJ4#3HfJ*CRfuD0imKjvHc8hd~W>Ds>c_WINQz zyg~>=(MpSV;fT`9kx~VN*@X`ZJfjP8J7beB2YF~u?OLi$oWo5(M~w8;YPyVPWhV6- zmqB@Z#9|zFTRm07DavY^A!X_G#>w8(hQiUM`bD>sdeoYa;KK{&Yo7HA7G1=~7B{_i z`#i-kc#8%*%mt#H?9xxb`Yc%I#?zZe zuwx4GXI|~~E5Mi*)*{c^m8ZM@amR`Kj)%pQ0tP7CZiX@91Gp#6H<~@!C%mbKReX%< zJllDvH@y}O4y#YfPCt(dV*8femP_0RRUb2-xSWJc2p7f!s;I>qU+rxVPmfT}&Eghy zYg0u)In7@AM3JBv&7Miu(Duh;?2K>MQp@ff;yT`SKQ8koUhKm>=%XJtqQ-rjn{is} z?W|XK8zO2=aEE|?&C5CYWZKO*&T~$p5-E9-v=m{mMf=%cTjB_{)(jxTk234%mc90G ztU14QPD3^WCmr>BF2|OqJ@tD!qIz1$V?|pu!=A=rZ(;mtTS2G`Qho~W*!^%~xXfU$ z@Yw9o(<>gfmkg^n>ly?sD-LdAGBqk!q)OQU18I$A9I&Qax2{{as9Q%{*{}_c>_bZs zPd~^Xza+FP3)`1)Ml+Gr8K({y?FmMUa$G+N^aHktQSzL->&sn5B40I9223oq>n$bH zQ(~5n9lt`5+qP>i)M?rK;)v?KzM~V*Hh8uup1t?P^*ycwrPKVQ0?(K@CFr5no82Xe zP1|PMk>*|ED@&8yhf4tRbcbP7=_nqpb!xP!%RzoJxrUbV34>M zbx=1EXQ`Rp_8Of+;G47y-`G!<6HF-_U= z@OW~1o9qWRXh^8OMqPM7*HlG=o#>SYbZr6;Uy85TZgx@Ph`SghqsUcyYJ0d1vOnv5 zu@3VvkUCDak~(HOtWj}GLAiBtpTyUzzHB+2sb4ZUR5~5CMGu{nWETl7o|xWN)jXu% zBR=G<29oy*v8;SJnqR;UAef~; zcKLOFZ_v07aN-A(0Cws$7CBSqtTT@#%UzFa#7v*?-toM#Tp|3?BX#+3@3r%~;F0BN z!;VP(`cy)_ZGH9-yNieXku5OBd{XLsQGDah^NAp-a}T-{4u!j3cdy>LT!;Aj6`jo? zin`_1T)!f5kb1=4_11Ft8F`|?j>KB^p3&anambSTS1sg;8k6UGSPCWD^VG4p|s%~(6a*< z+$4qV7n`9=Y^irNT!a~pHB^KPn>7|{VmX%F0sWu>73|Ww*{b1v?4i7uJ*~t?&86w5 z?N8e36k?oC+HEU2vjGPbY6}$Q0!T&F+3G|MDW4R%_0_*U9p9K&8SUB{5gbpQ3-ZaO zrok36JH1|X%fnB35+De7tct6xpL@buOMYo{)zoL=z^(Q{^+|fvo(`8-TQ8@NmVs;Y zaaS`9c2GU^QTj8)V14u8;i=bdSPHUq*yZK{^-dfuXG%7D5U4dCs!dzE3Vb=GoeDa7 z;2vR-I;V$@S;v+lkmO`ipqO&h#iDSQK7oh)8m(_{63V}28BC$4#SsXdgN#?`;K|KzLf8mH%EUGHj&&OzQ%%#)J#i1Coh)QWaJuOq##P;O*sm%H`{ zsN2G+jRAUYFQzumKXofQgrQ=osajpEzc{{S`(pH{qG3?*gDjc`+kLXzW%t4Z*z|TLlGqwYC&bqE_U_RBQW#ch zT_I@S*X%}(Y5f(w^L5Lo%5_MC!&S^mdY-ydg1%CHC!Am~cb;AUZ0RCJNe}f;I}hZm zOY0l~gW6rB=$%y=XS9gz{2fD}kG`n>8N)`c0lLP_PhwFb_{moN66(lu3e$bvPe*wU zhfYd?b-`oHdJ_W)@0I>zeWVkBF5I@>7jGR}Z`*J3Y+EoB8{5s&ud}IJcJnGbMDwVs zRO)<#3A=i=)pZw+yFB#j-I3-QLzI&5Oaa4j`@H!cvYlPWT7!jX!IQ2vM?nYD8TYW% z(TaWCLyG!kb|nMi$M|Du*62smHHQqRsVD}C-IKYvnp0O{JMB}|0p3ht_+$ELZY<78 z4_f}9NWwTb=$$ow4O%HVT;gKQff8M|#6==NkLDYtv)`J}N%^VFQEjcJ+gs1|I$&vP zm0N@PTam#x=<1+IvIpj;`9qCOud%v@eAK%cu{+-~Pv}6?r$xZL!J#ik zB<&A*wbt+9os==`79JNb?E*F~m9@!La2Mm%-1=P)N6W~d`m(8{+7SSaa~gIO>-joP zsLb>5D9Aar-hL_s^=Nu~>DvYG27;z8T4@-IQsp3eGxe)ELJB67JXPOQ3BM-A^tjkVAA&DN8 zQ9S$geZZ!Hs8=h1Im?u=wy1*#gA8MDb~90)aj7U;VTPTdNA+vMgOOFFykoOF=SLpnmK z?{?kSscnf0J)0q~@%p{Ob$O34ONyzw!$RjNm>ZZ*YtM}b9aV)KZXPM{9I4-1+Pmiw zRtk4vIVl#qY%pD~<0d6Oa7z03qnk(uXL`P3o(2n{KX;D!k9RgX#*tLw5PW}EUyQD$ zon8z1AUyWPi`_@CwP$p1(pdcCUj<#i6Ui>XxM~#k`OWM3Y5xlYEWVZTET0y&%q{5` zMta||d=L#(NGK=rQGaA^2siF++RWyCD`9dF|Mi@Ph{hwfK6ZrS9lDp!UA1+nP{Vtz zulGFM_dJ%8#N7Ape-xSBI+_Dail2KpSU8||zc}oCd}clLY0{KmknfiL$fp;MO8nJk zNa91*!eQ`RMbx{4Hwn49?lcGVa%k?KbE$mbh&>DBm{yreV>4L~_lC+y-&G8LCELwJ@$6Qa6>D5q!dFP* z$_qu^g4K%iT$1wgw<@37L0{z)4+OO}7pZ!;2ClH(!`h$BVQff$;Eza{32VJtbk84A zJQ&>kJf5)6{li1(UBhDhyqkUMw6W7QUw!3-xMUN#r(cjcTij)053kz91B{Cl2fV3e zO?V00?MImkcsbO1;e(;dEtvgBrP z?2k(vP5zhdViTIv56V40zJZzDCwO@CX?c$06`xWq*63MtYhyep+4$bAxB?;@I(>Xg z*(NOoe;#iq>B{Jfxc=3Kwab8&*3hks>GCXVpFtFp1ngukbE6(0L^Y8BFBtnJ-ynZr zZa%YKVJwAY>LuYM$7a6av{HfIv?{7^mQ|*3+S{|NdOI=2B0*ThuduPDgyi=xQE_tl z^91{Y`e~RG9*G%%t+~7%0~l+x;Z|P~?PxojL;1rh&%(irEdcv_;rH)4lv4~ zor!xKSd=+*G&awIZY?;}^*4ClmuwYJ&C0~SutYNVm{*rh(YWYgVNB?VXiyTqo-YTS z8E zT~mb$w8{r6lt~Gx zcFghU_VEgXx}=LuBr}{50~Z4r*ZMQJ@q@DPSJg7L3n@ye`WLQ6BQ*10`h_kqK4$Wm zi?MLFyyQ$U^?BIUh!Ov-z$le}VfS*15$ zVR-E>o-4~+*ldgQ4GpLJ>UiS;QR13}nu9__jT4IdUwH{CgGRt$=xFb?eTwE2>y%oK za(|CZ@o=O}Ge)r&U3}!CmiuM`YO@QU#;%MD5$X||e!oe_`cbtuxFhv3x&N`^2OYBD zW-O0$G@oA4m^M;v>a%(Pe)}&}l^Z-2@7^kdEm(mi?y5YK5(<7GU)thvl|-$?PVj;S zrAy@MZuByW1Mx@N`OhR1@KBRX7*1F1Ls z@vJ_Wrqj}YYBA3>5-)zyB%?SHy>UKZ#)uW$cmc5hZ!sx+_T=GO5P%a^=Xz}(7vQ0F zUr!e#U38_akJw^XtUZa_@(Z8(oN98!*BZA;Nj>$6`AEDqir*{c+x|=^4p08TlXIk~ zdn&y$II-V8&^+lvCMV02V;a>%Ulu85h_NOkd!!+jt>T%yaB6!6>PK;=hN zCh07O=$R#Xc7|sg?n%_E+Z#VMqXt5@ZX{d-LT5Hky|;FcSSRNt%;J65MbpB)EVR&z zg&a_GiKD&G4=i%YyhmJ4j}DK8B}6xgK$zD$pi*3yRFzFIX7jKDbPFtgq9Ufy|d9TF(kE?Ht&LnEqjgyI;iH(VE z+qP{xd6T@cG4aHwbYUbU;b>e*enl7(`B;eUUA z^k5UoAOy4Q%!+1UmEUS-{c(Yq5ZuuvxksGv;UTa~#`Re&ce^y{F%j<>Kk&pQ`EQ3< zDz{^jg3Bi^%kTjreQ_cO$PV!7nV+iza%si#U8KK-sH_1O4*RAv=gx^!?~_#W(T*W8g88G+ zL9~_y>0L{1$!ntZOh$MNh z;R)-nlI=sY?VG>wy9dcPcM}kKiaz4Fijd-3 zZTT+~2wbh&D|tWAmNyi#=ISVQ)w4aUK1U8$Kj<@{_0;{&(*aXvci0-D3fx8eu?QRtMrIO$N$~prZDA=N9e8!hutctf{6TZpB+7dj!`pIUuM#H&Eo^-{PZY3tFDNLv! zNz8K+HV)=L6ow+=oPR-96v~uHQcBeiq*YWrY`rM7@?z;UX1U9mR_*G~+LJA2xld=E*`)izSN#uG z$&?s?i8hMNB<4}SZLDI`3w0%27Ag*Kle`Ko>)M!9E}fPT_t39`1jOg(NcExNu7cEvf@ zfC6xh#8erkL!0%0pA%b)JT|VJZ%&Cg%fYo8@gR*a(iwia6U19^+1e9jD7E#07Q;jX zN0Y$MNq>)j#}O2a^z%PR6XdEG4qY%Q4lr@&wayNIaIuDcLvsBtsE9TT$FL@iIXPNf zX7!ksm6v66*KMd_XJMLeJa-$bdwH0+r~SR?^}y=j&0|2tvHqEcB9rF!7Ur#2f4lnV zc!xC_KfToNsgPLY^?jJa9B;qK!CprNYFUn2MKUC(PB=_2@aQdRFFoEA;@S7HUz<7m z=mXRT=~A(p&%M%Xez#!)a9^;UGk8i`-HT{j{vlkmnAHvHGZ>KH(Ro{K(J{EM_iyBo zGYHFKw7qIqGqnM{Lu*n-L&SBPFcD7Cso!nez?>HO?w`8WD*rBzu;{*yL59=-lgD*m zF}vlfU3XJH9-NcMW7p(Eo<FS^A+CC@j(+a39&*YBsO0*+u_pWcsAHKqEW= zq#YQZNMv)Iksq-`4S*3`f3+rHVx|r;D z)$OfTqVJ|u(?NM79F7TP!UZ~~hajz(H0h@LQ!wlw;|v%qY-2qY#gwd?hnm~PqFNV8 zq)fC{_<&ZzA0;%@#8MMf6f9k67N?leXr@H$n24i}k}bgI;oM(Bm7dS3mrIZ7uBQ!; zRPW8My^TAK39V+JkDm~d1go5lRZPpEg}g%s8{Za+-PIqK3SW7^I@wnUZuO<^x*Kg? zy@|MwIPYWCt&X?P?d`*QLDW)JMDuiX^YHP21qrYBR{fz82K#W&e!>MVd$pcnwE;lx zxXA*;?=io-(8Kd;ybr^n`}J`jjXtf>^kh#Ai&od~aqkXTaKW;+Z@Ku{c5-nszwbmc zzC;lbVke41agh)UW~J3|6RBpw(7F??)|*zrZoAc9l}wROa;`>oLG=pJcocKCWUtrs zb8pa&2LB^5#=E0}aK3a{&sVx&Yxguq`87GCed#v0;Dd0v6!1Z~UCQ&JP=t0qQdlsp z>AqE7byf{r>$bmZM##d|s9NndTWy}QJ8NB5yHF{!>m*_ehUK>UX4$aZZr_Xx!}XO@ zsG_;D1Kvxn$c3M}6kjMxfckrc)FC0pLfu^PH0cQU)4kXfOPy5{&BC$6yjitkPK8_s zZg8ud4o)y)Mzwp$>xA8_aS*aYv3-}&iDmH?P~6O%1p_x(Yf{xtRo=EZK>V=K9LHYO zoMRrLdXIPCyuC=sD$E%Wgc?>~B6Ol@HJc^PM6cV*DEw8pzC@P3-Q=5*ZV-HlLr2Oj z{N(Hvpz&2ucjy^RfJaBVm7h~l?{xGMMeF_Nm$+5YMK8|m3mR5KE#jfo0W&?V<($)&jDkk8}Lq^3g#kW@57!X zZ!o=&`IIH#ih^mlqGpBL#LMuN+ms?jP92iCiDFo#S%^1Rs3rqlZ3oNqMy z(Ja_3+ht*HHX7Y&?gm@UvT(Q9^F;TCg-G9OiEPzKKo8mi`k)SGDV{8%OYvny*HI(9 zTx`2`3r^r-?Jwpf26B}ilPA-AFsbGR9S7F1sW}$^YBM=cy=l`nedT-Y4U+=nmzG9` zDdZy?t#=o3LQ&oCNyE}xRkq39u>n3UX(FF`0enBLTihfp73Q~Um+k^Y)4jsEuZt+p|KNEJPt zHyxmNU>`$fZARifDmq=4lD3Z~wr^4N8-G zl*~hzb6RRpUE_13AU~>dlLJ5HXQ+iLAl+cwMQ3n?Y#^)9T+4FDA*!$%g=P)|tC1U( zkd;?#gWP|#Dro7CWOW8x8W&tR)a3L6J znk8z*q`W_d3ctt0bSU-ega9C>Tdl~<^nxmu;MkLvdj1HeMhgBYM> zd1wI-FnZQNO1HF`0tQIq;g$&h&8mtc(2nm0D8rIgS$A;>P7Bkq%Bf#z%m}EzxiFL! z#_DC-X-TJkWz_EtVRAJ)ur4*CX<`vm{;W5AzG$THh}Xkh#n`d&o2NgWo5qG+rBe+p z*nEU3Sr1m+EFSoS?kH0vW*a$%ld4(++u%}bx*t0Rbg3I@9w4bMWyX42QD0qZg?&;H zz=B>ytiw0w`O8jh3a5-!KYZNc#9+Sbh@Y}EhAh{!i8Kp8ugzmM5{%+YjBLYlVK2gV zX_R4{VV)7tbY4jeh@Jxj`@7#wwAEh_?5woH?Pw&zJ+frd{7qeoqP`;>&=hL$f zjVCYy+;X6V*AjN_3YC37kRDk?u45cxguea4DU z#VP|79$$wB%r;wDhYvSe0~Iibhmtp1d54qF#K_JQu>&?i(f#=ik$We}0PrG@6$l53 z7?NDMFs>p~*ut;DjR;&2W*|adIe3c6mKs12!5^Klg^WFi?sG|-=J$1>FKL(Wve^b* z;V)_R%XmBj9Q2b;TpZQ@F#_z>2SbNk)w&GEe#NpRTJNIpX%gmarfikwW#*xu#A-@5 zu&cHsD5`~vwlm<=jvV9g=8kn)_v7}!`?OH(=S}QqFQ^9+#72YS4{Mf3>dmS8$vWU< zzj4jWqJDqG<2VV};^VId^jey+f{kIbOl*6_ebl49)aRq$7|Cr0OJFOKerfqIcD0G1 zX)vM&2&DkHVWM2|v;XV)AJ<2>Cv&-wc@=X4!`a1_WaooyQ6QyVt) zzwSV!C9+%FVZw-r#vKp+Cw4A@zd*gbH*095!pSqk+T3sZy_9buXDD)dsw3SpkW zo$ey0SuGB#;{;X-8vKr6Qzu*3Q3UD^vguiAi7hgh5yr4{>Jj!5=8)+fp%N)`McPtp z$zQ>$yYoV_@QYpvQf|XwW+Pg`m?+wcRY5*rfy^kXl>USOkJ|1HzE}m|uejkX_c3hx z{S<_;t=u)~w%j#nuN1kC-lBXoUE?@5*fHnY?yT3$UTWy2%wE4?q}pNEAZH7harC4z z3R)G#qx8S7Az6*4ewcRu^~o6K)X_J&dSi2U^%Dv+J@}Hgs_{!(_Fr_WZ~2B>@SoyJm5JorD9# z$TBZ)zv+q`%p&vK#do3#MKA9B1ppX z)-(ttQ3Ow3Ab=#oWBpqc`r8Jzg99AB)(!Bt{=3*2W=0YS9*L?;Ppje>Qad4fz3>+` zhPwTCs~@#dC-0 zhJ2HIr1!?>B)!)!WUtv_y5z;Xu<8y#^_fm^bo0#t9k#zx`v^{)LfvbwAK%)v|OM;_2DL?7c}?yTg(^-uBUUL8+}d+N;N zj|lIvy(#dSdUV995u%X7RETk+Gpf4dUB zra~a__mOQBP)1;MRPI9)({Ga1NL=>)(<*i;^A_P8Y3$ADvE18y`(87Sd4idM22imQ5VIXmdJ>h zdDU-M*0(Hytcxl*zMglFWnoW>Am*v%7VbgAm+GObd<5&qbrQUfrNabID?)L6$90sv zkA=h8w<|#eZvn#ucPmf4k0Nm&118YCkHF~R?yEWg-=j$!-$fmv*ZDfZTkP=V-O2|6 zV8!GO{B*R-BIi zWt;#oX3}%JVnguOH0*a)$HAMTeNZ2i{TMi`f3uQE@U|%&gqZc1Ev2RqT9#SK2-Mye zz}X9EV+|FScnxVB37f3uXGZKHH#usp??r_dpuOV=7K zAs6+&<9j0TIh}DsnoLeVQb|oiV$e$`sFnSEE%k6LTMTu;LK&11e4n@6cW0a>SJ}`% z>WIWR{$h(>K1%x4qVJe{HapAy2+Z;$kkl|RA)Nd70p3P;PimV-c=PiWCAQCCSYl&O za+q_@s}wU#K-?Gm!O8r#+YE31sDJ3?nw^k8<7N%-gmb@Y!qYC+CzCX1+VkwLo4r-) zde7o!^n>MpS1A=_s(ooOKtO~XLH`%P4A8^W{QrSg>Rluha3g;7D4;Q}5If8#2A6*{ z=rrjxP4{p!Z~s9ow39J?eauQjWLk=MH617X^Tqe|UFZLNGicc(TxwtAKPhQ`!u?v~ zG{Jo<)zN61!+ey=sj@qH3Zv5%+irT)+TIMQUQo4u;^WX!HbV7e8NASQ&ilHPZfUL` zTHwxJ_0Z@H#s_M64Grs^$%s{wm-{@#MHM*%KXKO;Wp zm-kS(U1$g)@ItgbE52T#u%81PyAsiQCNw_6;Dlm{rJ5kYWPsM?UwJwrBC%G_33+=S z)W*FapBFVVsnphr50utCTjGPiI0cdi59ZgnZfTs$G>3R8MyELL|l)^ze&cduT6ve9dOR-6A8{GUn z*=$uvRa$l4KS~&$joQ`ybxGaCD%NwE8wUu>?M6?yQJ;E*4aQEs=zS5IWFb;2o@rQ{ zN4yAK+gxLo+on+jR18jm)esLaTH32fS7yK2kSS$U?|@D(gsQuvgw^lz$%)O6pGMm1 z-D-U>gBAe}XJPEHj-6fRjM$Pwi)^jpfpO^kg>m3mOeA@*(^WrU+i0*}e%&Do%9bni zC;LS5LvhbcS{!3bz(+cvNQgNe!h%vR!`EI~ILtmT8HlDg#j@rrI8E#qj6C)AM_XyV4VFg4MpK00+}%4k@@d+dJD|zz_}fA!0llWa<`x zB{M5V`_7ur{CiUWQi{u@Zg-a%%8b_0y}1Z^1$xb}VwuiwyKK?071D8iU5DBQqr?zwb~w>|t@zLBpU z{N3bKXTRhx#%fuI|GJ!D0pQQQ@GH7F7EL=$iF>e83a=nEA5*B&n~Aen9z(b*Kj%8j z)!RIj`v>`);c^C(%l0U^p!#z$<#;KKhQNZFpC$EgJ7Z1gJYkRY#ua1;GP)lSYaDdu zgca!OkASa0pclUci_|Mq3ioG;dm>o{V{^*nb#7`Xp%CU3v>e_nC_Yr-p67cKufVpv ztrgp&HE4`1lpJ37AXIa@y=y1O$}4{Okuv{eh~L;PSjFwVj5zO>DZ!kb3BQe}wb3sK zx)o_=dV)Lr)`*>mKT?B2L*4BnzvX?-m%@QGbn%LE1cFLomAP^6jbfd%8hEz4LUU^A zoSc0eVfd{ZKBZAG(_P^oZg{#2HK=#3NOPEIyrDmDl*HD2)*OMO@kYMI(fpqT4owUQ z@@D@~D=mhFLRV$BR;NugsSPu`*{82;g$XVg6G1>a6Fo-sn2C-mBqvM#!h}@|8^2R* zvN?2!o9zwV49e?2B_8Cm7r$3Z+bB_=M?MaF-#D+^N~YiBb&oi6C*y7M1#$0n^2N{J zF5-N-R=|L-+}3MC20m)M(#DK9Aubhf-^{1 z1tCN(7)w27pHJ9PSZ83VTnLPAa-f1?3*dK>5D*glp*T#44=F@0L;$=;55^$m(fH%+ ziV^(N0I8bf^B)ZiE$Hh?K?70Yb1Pc(R$%TPY0FK+CsFD12>#>3ZoDC{Z!8<2Hk$>y zx>a!&%&Ckis9B>uL>n_l$ieiWuY476p_luVcCN8hh-D z#Cw8)3%=>&q#*^tZcR^sn$IG@g0OLadqMB5gdH|aE`{k(v%G2WQafd68gplA z7c^K?d1gy_I%wl&Jf2d|bLi1=k_e2rTiYb_?XSJ6i;)VKijfC3WeXD-*8xt_HWDI^ z*+AL$e3Gnv*kXtd@BLiCj0!33;&p;erkE~lhlxkkJy zs8>W8Z7rw~V)|+eJt113M$sT^h#Y8^d9wYymt}7~T{VOMlLn}tFv9YBLet>KNry{k z_6r^JKn*%@HUWOU8(BSLVClRa&L|jf=9crjuNqPMu~;R~Su~ z&QZMf`Tfz@qeP!6uC>iH2zG((rd8jQ6@oe4jls|%c^rjODK%V{sq@Qne;QMdlf+^E zf~yT)y@3WrV|W#Ri)sFwivPr8(4)U^(Yk;V)A$M+T?tG?MEVLveBR)e@8P9Ms{h)4tpqyoX5SfFy zSkI~>l-l@&zo3@MCrI;~v5CiuS6GN&cNssiRF7C_PuYx!Nh6zb6&;s1NXi<3@Fja9 z%<@|`n+6^dOHj>_x|j~6gdoyHLF;+Q-*5Q+tJ$+z9CscGxyep2O|bQ7Z<{0t+K5#* z8xMK$KzFlL%j0{FipI1kDVp`!8@?jvxJOgDU1(>!f7-u={chKw)I^dIYTnnB$ed4+ zh4t3GO!E|oX)$*FMb6wxzu))o?@)@rU(@}Y6%LU90@#_uCAf+fJen(Xx+}7j9NmT)1Ve&5=JhRFVmoqa!(-xF=Kt z?~P#%JKg{2WyAIn!~t?7S_H}(A3YO*Obfbuh;b!}-P`+R$me&Ss4pSkJ<3n0MZ8mU zVtkhmsrQ~lLm!&dWujbhGQ+LQ26Ox7f0P`E>j|xUT z?2J_d*UEXD-!kRhiqpo~io&}Fy=YYe@yzz5>}Sr?A{@q16h7>rhu-USOBhT587 zf5MagFtvUQTnHEp8l|rh4GE`XY7v-v^;~7HOWPZGz-+*rn|oViWqi@4KazU=iP}#v zO<6BI^lUJ?vFoKzEJbFpa0hM7ACK|;{!;1pAA9lFiWBHhU249*ZL9Ss+)enzZQv<0 zccxVK@Z_fhI9mD`JPXI z(?N}VD;ymo8=M}&FMFAMmlC#PCV0F*=pQyT-`9F!2M|Ho(|%mR52TFeYWzmcwbC?Y-ppaYJ&SA zbfIfzs9isruQ=F`!UIA4Lgz?LGWwkRv6M4`+e4)NZ+5(M8{6W=L0{|7uaQYZAnjSu z8jG?8f)maxywv%I_QZNlj4kR!sIY#@!i=aX?|bFlaUnjMC8z$b41puQxP4DQ%vYmK>ROmcF zXynM@Sa2X0jTE7n%2AWL%Tmq>N_$jvNm>rP|X&&Lg%6ssGvM`KO_d_lc-6|D3`kDt_m#n zU4w~o?@O^SkOfwck~~c2afRM_=MCFpT+{=N?+oY7+Z>j8xM|FFr2udz5w_*cZrek) z;C8-`Zfz~UpGXWnI#zEhEcH-qRtor4o2Y6=JqjO z`cQi8!WEdw#e!hi(Qeh4V+`sLjmxJBJ}#l{X^YnyxH>Sx3{d!#)74n=vyPAwb;vX$ z2=;~AT!<-lKQq@V?T0Bgt^!+&$zJDt5P*5ZYqz@Fm?>dd=GM#hDY^h|ogBJp>g3(n z!6je5vpr;nE|FE+6JE9{e!T~HuemvV5^GnRDE7Cj@pkbue13+m%oBLL%P$XM+%wqk zTD-Jrj=wOg1rzu#h)T)Vqct`ES&icPiNZak&BF$Bt+)KylI495wu>gpE}DDbbNBPNmc!}UOkMYY8P#2$CYY){nNaYA1?Jy?=tzq8W%Qn#>R(Riw+p4$7t&hR~B7|FJ7wHW5>V2(+}2+|6)zn302SL zgZLaFAk*xN3Uovjfmb9QGGr|i!wsi#s^skg)8%bm8lGb0m)n3RT^OBUR9EZN zZG0e>Kka9qS{sA&00n0r9G%h%uXZ( zaQ=mj5wC17h~U@vI{tLVkm5~f{%sYWPiNasVhLwP<&ApI@q!}$p(MhAO;k`*X1t}8 zM`FIz+9*1Klh*C2WwL-tXA|6RGI)ED9m3u}_7CufbzlSsm|Xp=xeqre9&enwnDP92 zYA6*FKYKBek((SF1xbRTRM(hL{YO(8v0*o+cdW6n29mIlTCGe?B?Gm9U&j1;y6a}HBS#RifDDDE0}m!PAIVOz?GXpXc;9Uk-& z)Q7Y8-{0JnW=>7#sER;@yCG2avD<l zz*|WWfKcKU@_HCCk46v`gcj}q+MYw?!-%it{q-OFwtgIET14WJ$z5b9E~u~>$s3Mf zF(g1o_wFv!f%&DfxBU|R;W(3f1lhn6x;uL>nmo)z*SNi(L1GywLvyNX<&TVz4@|m!$lN|k(z)821Uf0+06#Sh;)RdUq;D;rlpx>0!v{!-{H2u8A!b$u$;keM z0pWBE(*9bOQW?Vyv8j_|!_n~W#n%cKQX#6ZRHjgA5}#G<*|Zyjtl~6e(=d&?03TbB zBZh@Q67wZta#hgaKy7`^YV+zXdoz$6hkl7aP+6)TNaB_b6c~f2=8vohmQrxDUq4__ zMo=wEWRZw@5b@<@!)K$7bHhq{AK716VLi2^551&q77NRIi85K;%+nl`c)h}T+C9f_wh`eCkwA&q3J3ee@7ygq%Z8AvXK_bn4WmWl zB$0289qpoQAvHr09m8T)4?BCGd;p{Vl?+hFqUyc=X^omA4*8HI1>5wh2^h^7rQPGl z-K54YfbhLlUbd#Y!rO1*CBfbS|Gg%+ab*jP{*R`t`_P2A&5vv*PhvogUOn?rK}OT? zFLRLY)T$L`%{FY>M7nKk(~8FEZHpsOT9%P5n=~T@WuM^ZmLV7KpS8SnNSmWSAIDPt zi|gbz_Ykb4hWt7XWPnmr)L4*=W472r2oRpCER;~~z3u($s}DUYo;zB|uhcDDEUTWJ z>?OA7KR@Vyx}aSZFic*8Y6y9&mjzvvw2u=Z+uj_-r)mJ}nq!-eSU#O4I)5%xz>jaY z(`u$@u#+3!qfnlNd*P>>5MKj8 z?PDb-J6G>bCL>Zy97-=8^HFu)cKbHytYv2SWKEBnH5`vhF}x}~r*!$uP^KCXrXaaL z!u2sBw1pPDM%-K-R&8h;GNX!RX*_1**+yOVv<^CW%_h_Er?$#$e>^Yua!M0$d~U|s z1e|T40}0R3Ax149u5XW|t0`DU=sG;ETgiHOyj?V5td&;ZA+EN@2fKoVuR|5+JNapo z;{s=cQ>4a|vr-}7%-U)bkxs3t;D1_eKs|-O^(=ffs=iz$tk5|w%>+&uY>S3_PUCKVZSV0HxU@w3~~Yo%Y%43>;-H#7XzedpfAP z7qPEg1`Nb*?A$x2Be#WaE~Y$tNd52z;oLj7BZ0wtJefD367N4Fu`ZtdF>=IivXsAe z{eC(4)#H3Ap>LBn;l`+ag;8~{V_)493f=IzcV6_w>;bZF)smV?DouZ|@TGWP*Ms0g=7sLNL+%9Wi$d;%=o?4w1QWsz>_^56*r9?LCgMcw zt3d9A>N`VzhYv(Ue#Z+m5WE&AJ;$IR7xo~lETwpE#sIAWWrcfh$LO+?MkSe*oa3m` zc6jUa=ZASE{^`HN3SmLS3D?I3GbM6O0TG1U3E8)f+zH;tK4WWW8E5Zog#|Bd^;s_r2MBMtLj}C_4e}^4{P?!;}PXc)(V22*U1$jcG7Nl<& z*$1qz1bHK9hXsZ|EH&Wbd-6P-&p+oM+jn|_PWy8tE~Q)h+QAPl`RlE?$m=p4)39v? zkt?BNU0urhdr%!*mq<}Z>(jUbM=#sAJ~h`@rvi6SREF-uTAPzDa2w1P$*u}*BA;|m zaM^F^SDW6(=n19ll_RA&c3X7KFqyJ44Mq!A09D|9M9DLn_yvo^ zB!*1eajcOS4K$rOqIQ+glxt+Q+O{rXn76G{^E&D=cg!5R*w37KYY(n9t*RdCtNY+}Lpgh7a3JRQM5i}~7n!OuZ9oASIrn47gCpQvM0w%53;?r=M zD}FeK4S@OtZmk~P0wRESt>WBGzw2?dl^e8KnMlMF%Ur2Y5Knf0n9M}%XP-?Wc_bRD z!*iJr-sG+~8v;x)%_nFZx!0XA*P>}Bc4!wG3uqgKv`T*j*6)yx{FQBJ*y-0oK5eKq zoepug;F$tz_t0^k3Q2cQf&?8CmP1a0{DN+%U3hQX8P@t&IxP%b;czg|>tBs+Ad(h` zm=c!b84H9l%cFo96W$5Z5)5MJfdkEioc$G!l{kg7LEoG|Xe!pU)_#iCa&(swapu~* zKj0=M4k54Wp|{tbJMgf8RDYSl27Th*yf5TUMhb>Br^6wM#42gl0A0O^Ch#kw)^XkBkEmB&XhT9V_*@J(nz5yzS%fKmJ*4KH*=bL zLPTSBw3QQEx@1L!_#$IKxlf?=16MG)cZ#O#0x@^6Xj5y#T+=~&Br}e5#Sl10Xm)n7 zmps!0uf)06;O;8$99sinTsE?3-^+Ly4sqB%jC7sLD`3T8WtYSMeXopMPi^5}I?mGG zNMg~U7|?k9Ri<-DS&mYPZ&3LjweBBKjuO?($}4TfdBeyHQ)?(cLJcse8f}MD#+;R{ z5iVyIR%WWtA75DgM}zb1LD@c*Y<OAaf+?(Y^q3|D^<~;iOR3y*n)xB zRQy=qrda;sNRxrcRJ_QpVqPS)j$eC^M>5H8WeMwCz8qpOMA8-j>N1 z^`0@BmuUJE%Ms)Pn}hGzb^DYZe<;H-a2b;4+x`fA!SsK#9wPR42&_80%yRQrsr8MM3C@H>&f2R_y$hy>GpY8UjE1gk=!$-Q z`yW(BN_RQglp@~V+e+i?u;9_!T~GJyu;lTUfJmMP{ zogU2fm(*DSr7i{^(YJ~ojXSIu6ajU>%|?+0eOrzTF>8kAjAr4BLHBt?6+qo)<7AD7 zqSrSk{jY6u~bV=#>iY3R;GvA5mygOn!bQJ~^w((#SMfL%vXt(#il}o#tL$s`$mA zvCM$}Q)!oG67q^n$)mB7vr!Ft6IK)|2B4_yTYA4jBXTjghY16yLIJRCJT_qHlwg@C ztr^xKcr#%R5@B{I(5edzu29$}u0`2(H-~rq6wv%DjTD|NzYhfiu=_Ttih@A-KeYba zpo$2I|DyQ7Z?hK%=$o+Ctw|*QWBe2vfQ>iKkVZWh%cO&gzxbQ-@DpQJU8u>eOT0|uV#hl$>2FIW2wH*sF!;-fC{m63k?${6RzZr<};dnH1JkvuO?%I-<3=@~sMv)Llfre&; z@hMAowN1Jw&l6!$?koRXuDRJ|zc_1uQkyExT=THud;8!6C1isbYVV~4fbu)owpPIT zv|||p33FH>E>FNr*26U!`YUS}Q##@A1GVXQbl7UETQi-?d(N&u0V_!pm9fD42WUcI z$=ufcN@d@J?@40Q%(I(8s&(0RX(JDGi{e>~&wpgwZU$KEJ|`ObaO9gKsq9+Iwb9%E zpKtP88IbI-K|n0AL4Y8z|I<14{}tm_(mDr&SDMknElzQlks6xN@A4}r|E<|J`$VRAoSZkHL|r13SsKTwaidEFx>p^a{bpXw(TWFlrL=k%*%!+Q|4P%+w}1n>nk9oK{y zHd;PZH=HwK>Vx1F;sm9tcv;vP(EX04cRpv2;= z-RR&=On8j&nVCBNviH>8;0#0g?AXc({loXq$Tq~#X_71WdQp(ZzVYy`rj84VJT&?*h{ z?>$KaHVN*0+xk$HCgqf&?~B*b%~xL~84gCa;Sz+wcGQ8q@(U0pUj>)*Z<=zQzF{F3*eQ8dSVF%yT>h^1d(tAHX;oiQkE?wHEc`@8 zP~3t2^W#0-;I2fJh;)xX7*GE{HnxL@g6ZeU+J`w2p)hoK<`ZUq5(=7wU&I$C&XUdvmEvp3Rnhxt` zLT|LVuxMY+CoK7sGN*`d!HD}HgP87;ns*Z?CQQcuZai0)?rbFc+6XaIa(mm;f}At% zikH1ebISkty=-3sO`?S-c_*6X>kILW$(yB4Hh|oZbqAgrPLeF3YHvS-lEu$!pLst! zKRx}z8CnO-1A%wGGPnGf9)!#l4+H!|QwnLK+KSi%_&eEEDQ;tatCACB&bJqt`UDwQ z)64!6glMT)n1)8GMviAe>oa7s84YI~e`!pMzSVnai$rcEQx**6Rtq+}wnd|eK#whR zwN&$t3FYUbviFP}vtkm%Q5{B=;i2=w-FWv?me>(E6GI}nh{x;foxu$fdq;Q zhw?pWJNzbsOR?^kyA}zCrJ%?@FFnrd$k$|VWy?1%LOgeQh;KOVLam+R~(LUR$0?Qz6~8)u17~q;Qq(_3vdgl-pgCrPX#rcsNds4Oxpeyd&LnqbKc69V z!Q;#(YD4{b{xhS5m2yhY?h(7OH0;-Qrv?Mzw(=2}`vg8qGCzyez19sE4_jcL+HL4$ z$~$;b0g^J!CJK`Bq`E*(EM&>7R}r~(I*R2s&XhDj#I>0H&Dpe0#If#b&N_bJnOOJh*yp}9-3;rkJbx?+o7{l9y;1AE6tKNG82}8D#@?3Ww zQV65Q4N-{MySl`W`c`?ypr|ncMa)z7emAz-^ex~dmE+IYLo|b=+;cLjqTQh! zL1RtW0yn<3h~{c71aVF=tnbzK%)} z$^JKj2R`})j5DvnOnehBd+VD-uN&=AH%ZUYL{FT32a55u1Q9{f ziS0gd580;bzfM0)1nSm8nuHMH#w9|`Jz8)Pl5gU!5rp=TL2Y6Vgz8@>gZg7&gLI5F z2-trB)3bk(|9YCts^78$*QS&DlJ#ENC>!AZTLg1U5~CF$oQ1S=g$@W!6zIfICHt|b9+*y8$ettb_h(ybWM z%T}ZxG(vtf*fpy}HB;=nA6>GgGPD3Ss8uLcKr(EX1De;~{BIv+ex)^TMV)t~Umf|8 z86vyy4&QOX=D^AKw2g6o+oBu1onit4jdOw5Ug8Ts_^B;M5vC457t;eMTZ!_;Xw6Q9 zn1)jVMVx2!5_S{Vv=u!N18aR!%_}Qf>23mJ^L~`kRZC7r$~iuCnICS{BBOWH_b-`x zl3dXWiUYz@w?2Y40_x@%1Qk)GNX8ZV>c#QIK*crtmG@Z#T~r`7Wz3{-tI@HnXqGI zs#RR`_3l6k>YsfWbwpb$N}I58jtJOr45=RTT-^@^lM4K?MRdNTAEapI7WmdIbB;{G znM&vj|7(BH)UA5IFCCiFQzCB=u3P^PJM0ab=Sb(viP$zZ@`yKZDQ!&lrZI}HV+Y+8 zN^ESvc9Hw$Ibts++sCXV?5I!tHuh$d?FC0Xz%Y;m&rBoo7+K(lNn_wMC;G}bz_*M- z%CR65ZD$ay_t?&IP|z?zMT#NUz|aIZyq}+uqNBJ#P}ie}B1tTAYMi=6|He*ziiwqE z)@VD{F}snAL9YW8h|VEgvQK-NK&qq&ituFB3&>8e>;A(P{1aoFOQp?TBNk9?XR3KL znAVNR*|rPo3Pn!OJWV@9<2f6Ns)1RcX74e#N&u=mEJI_!J)XRRYcybOHn0*qLTjkx zD=Dwwah+#~aEPIT)MyK%Kwu~ee*KB(T{zS{D;B>DqsR)(6FY*b_5x$SjpmAKszh^} zM7!BAD~Nq_yhU!sm+%$m&9p2}!<+O-c!y4fgfzqo;2%4YxuSYIl_W0}ZgHUV4mR?z z4T8xvG#UgUsD>u`rzy26S8d7AWKr0k1zMG*&CcMwm5&B8&XAU>o<5`W89_#P?PX`K zJn{k_H%$WkZZyz+w6`$6F^z6}JjZhVwe3POw z^Rsu$g{`$Z`%pH_(wa3OnOgy)PfD?v3jplwD^X12)D&+SjFlwPmtZHEqF($xw({^H zHD&C7OFS!A@>Y>b(Su7+8`;(F;H)0}Ns~%)r zMFkHJMK^M>>yFP9`>(RIWrW0i5$TdjMg~595NSS}e($ze;^s1JMyz@SvVkvQq#Jsf zLj>17@ey{|`_~QRtQF|fiPO&Q7qab~>UPYieA@VtEMj`ryX%(>q1bZR&wi#ITFIq< zT7UC_#p}-GFC|Oi6R|@Gb2QX@zkJB}=A{n_)k@D7E}ne#)jD|A)foI!oVnv5(mYW$ z+>7eMtlsMDh_xpT(@70-g);ep>?BCvJ$2ouO{p=@?!sIM$$;#f{ z{N@%@R94#%!J<=Luo+vV@F?TOX<~j_=v_y*3EJjkaG}|mR7R7H*MwfPLFFU-=;t7C z3ec8`^f3@_B-OX0o=DYEL@SwuZ&gyt_WKhshES;qih*<0rG(JE=16^}{aZl-B`)WSS`MQ%5J(H28bI?MyD#1^t9Fy_*8{;(nbwA8U7Me@M`IGqV z+igd2B|twKZ>yV3ES$CFNaEVs1-p8*AixUgEi@_T$$EBqx%WatkAg!GM8%dcO=4MT zP)iT8uU5;G+@g$nNq);wbyCms)m0Pkb@!>^>ni#|YHH4L79XcdKLNY%f+`t%IaHlUv@_j_o5V zQJz3nHOj&TO2HvMZe4UG&Ps?8)R+rq}xh6OqjXe zbU=cUdo_Oo->3EflB>Ah`Ko7x7C?yTi)HKQOz${Dm3%J|1`bVj+*>`_3#jU1tvLm0 zvzH_%tf4D~B`dk1OSI-%Wa0kVa5uTV*3$_br`{4us)0D+ArL5LtoXIb9fHf?G$hocWGC0#0(<5TpSXVKS;V8;0{Djc?woE z=m)xD=d&{9Fxh%{apmQ4cd)q40!?!R6sOa7MI~rD)A=|0u6@*1z)rYQ-$0g4lRNf_0KrY#(Td5xm&D9z$!OPJ|ht9-M#9nDnmqL8oP`VwIsY_ zuTANsO;W`=`WVDz3SuqImjs<2MEf13YDePQvHskYDriT`mFo7W)$QV+jqZ8aRU+ zLh8H*WH@+@HpMK}htkCYLKoDbVEnn4G#^Z0{v*quxs>gXccD@u5r;S{C3jOj))aWQ zEVVdmdsQwxl5XeRcigY~DjQR0%zOx;!0Mg^uQj3FUG&FJKRBJL5TPlYI9AE6tbjp^ zAcJzPp1pXaZ-W55t(f<3OkVGV2N+HWIo7>Ij=v(dSi0g06|K7^fJPb)le*x)rEWFN zU$cA)FE8(+Q_@YxhUZOBJaMB$D=U;L-{Hd5ejpV=@!4avTH85i%vdO-@ORJ~6LbO(N0k-FPVzsk_Nr-@*eHDc@>^|SDKDG9hy~xusE9ejk>w1p{ zR&Q$v)3-TRrAj1!k86W^YEvp?rp^{Z8vtd=R;)NlC5Dc*TSn3dwF#(?p8DN7q0AuF zKI~AtEgArz>)1TZU=l6sVNmHNC((Zv7=|S~elsLRnmHwr=AEiwp|}I~vlUOaZRWNW z2~mYS-U%DMUIL#E(%M=cmDj?wc=)uA=QC{ExdT(wdjfVJ9yhX1XQq8PV#ecMt*Wd8 zJ{&)ODxd7&gLG-{t=#4xFkqHdy{I;IEArR(eAht;^mePUCvt?;ijxY-U6GnOicL=1 zU&#>9_7fbqc-Y!`%V_@@)3?`$R7djz=j+BFFxZF7Bjx&?YN=skIXhql5t0B^teXUn zUfuU+v5J^hXib-rFxF;D3b6T_UN8|OssRjFWBO;;0Iu&}9S`pobVuQ^b3fIpQn5KU zw7r`z0JK@tz`8OsBpC&OhW)yMn{^`rO8vcSb~TEAF{IH~kgwA2d(N@w8Bo(goQls! z-KD%)E_q7nY361X<2T`WuiMdN_NrcqG;vYR-Ud|Ia>c}{d!I|1f#Jqw;gyf-e8H{k z>~-VKbhV1K^aBVRt};!UEGCxDsM8cBnE3ovfUibZ-tXunzo7N2RWeiEnW({gOsX7V zP{TgD)QnBt-TckUF&E9}S-u`&IP;6-zJ0gFjYRRZX(Zc^iVE0@WgIZ9fz{R_e5hkA zns3D$RdZ^^;M7pL7d_72Z7E{vpNc0Xozncmy4Z&{W(zYj&90h06-34)Nxy5hZ_>)v z2j+=WYZzqXan&;n?xELRZ!kty>boG{YG5C$gyFS6akRpw9GH)lO-*NA4c_&;*WuQ& z?7vH(p;qH!HzqStZTn_OkkBU|GZUV+uU0;Is_PcLVcsPyn9rIzUv&c_^m*gk1V;*r0x|1 zkP@gKz+%V7dEfKK+UJ2;hGe}50w)M;O zHn_ASX9A2W%$=Njdi}`Wvkv-A&$IWFeDXa|bY z&>x;nqX$REU%9T` zmNPTmh-Ryj8|~4Q-eeDz&t?>Ba58|aHoxT{jHURQu<-X?|OT&lbDR_*sHrhEN4Oel`EfPj$TyMYKqq}KY0asTy`EoL1+I!c% zff7#r$z!sN;u>OJIhCzLNCFr&;W1vszI9To40-EN5S1hzw1c_Mm~rq8sZjhT-By`n z^c04z{n9;^lN;&{qF?3fKUGyIYNu8TP3?=8ROGxufDA}TT~+kpz(OF8>8?-aZ*OU= zxyqJZi+*&oY7T5LC=&g0F~;LjUsYIe*HfNCg9=vg>iEv6q2h6njSpay5-HfrE@*&j z*x>PaE#Qr7KCU)jp1II&J4U2v@ls#S8C?>69J#^f%yhI(6~)qE}oaq=kRKUrwZL-OH&&Y9-*Pe}Lr> zXA8qS6YD`cRw^eDviJ1Md37;!C879I7Ea*{_d6~4%GE`>-C!=V zFNsjPC9?=x`e`p!QYR}HX_p6qZO=Qa=Xtvf8wZCZfO-#=iwY=E<+Y)@K2W48*vP8I zY2}2WTG?3iE}!i{XOv9tEDqwl&y966PiHHXN?N;02?_5_a}gi&qM(vm%u3xqAjZ0qR)=0lF{+glgV2V6!sWbl_; z@Zk`Tzz|O4eo}7LS-fe5BFnQku0Hngd3mfZYX;&Q;RkY$srP33{S57!X?KuR)mK^E z%#h>D==%$rhKI`h`UnMDazDZPu>OnBQaN|l^?Kgy2Nd#E9`M0V?$Zvq!D*z;OGosF zl7tP^n%$1{1KlV0!pU?}VfBmagv4?1PcHkytmX2gPE=%fS!|cro z4e!7S+V47%G^dt-j}8LGA&5x8^gtCVskNB`b(<0*@BDPcU75gntK?)lnR1Rg$5l^r zI^l`7Aw}wh=oYC|P14KqwR=$d6&%MbW%mbwAN|5J- zeLRLarGz=rULELRpOdk|6tfpanLY1o+5nSD-F{$%QjcGKtY#WpKg;-&TKKxS=r)(L zWWWyhGZL(B>AH**OpB)!yqK1fmXi$AtMqr=Z|oYFVjEuG)QH+O{KlOoKUC$T`-Y)U z5A|Bz*X|~9$ozn<%uMHVk0YSl9mHxA^McMnaw@!#_?fAIT*5N;i;6nev;e~@ z#(0~K=P$^X>W-hP`M(Y9&=pNG2s@0%hr%#9VL4VjL+q@W1%=C>SZ)cF&T0(ij0?9? z)Fi1q-2TwNvd0{at67kU7!i3D>U<@lB~Jngyk1dW7|!t^JxR%>GIa*}?aLVI<6T^r zXb9-pvAg{Gt9dA?SDhxG2&W8`6`AOk<*k`S9kmU)jfiI(wR4%fg!k1X&#T}{4>n#! zhBXVN5#=+Zx|s2^5cOsYDS522+Vc@mu~n4c4zrJX)N1fFqBmIty%YOg-pi3jYv}_! z1@s#QVCemnNQvEg-2+VE)F6SmK$3gBxD4Kwh}!W-IjF8PIwoTADrv6}305>CXF9G&BW>vL7MT@SLryyEc_fuV}ZXS$g#RW%jQ zO@ks$q*>69$%l1`!}}O&msbtO7lM~S{JgiVdyaLIGco?2_D0~7gE>$qK)nb#m>3P+4vtWw0CRo+0Zswt zN5ip$*BcKSYkxpIqXctOevgRnLst_G6(;1Ua{vc~BKbrK7NPu}0{%Y!wRV;2&uea| zHHQD6uHHCQA}P@h(Mkp8{&C9uU+8+{JGo>kCnzBbQCa&ds0P{JKd33FF4RX99i;2( zW~&TQ6mW#wcp4huf-wGn>FbRjyR>@TL6O;_2L5j_xnkd85HM;mA^o4IO%m?oBNC{= zlmNf@FQ#y~3*74m*FWtqAvPRaiwp$ve*B9oN&uAb4AQ@F+-tfo4W?@vtcx=zbu^zfi_Z|B3Q9VHoO-fA3fR(;z>EnL@PD zfGPfH$sbMoh(MqqmVYbbr~~7_mh|%exr;xk^!G*t|MUmTk3Ud&emKOBwgi9ihaV-q z2!IwPZ|mwW?mr89|3deB0m(nvSM2=*=6g8_3gu6m_(!P)=qBF(y5mnJ)*t)>232_R z7nGmZ`z<;!1%@FJ7~w_)re*)j-+x`}MRZ`^Kbq;k7bGDFMEqN%Ac?{MF%uN!YywVR zZa)S5Q`iXa>Suyc!CHbEgug*;kRfi-gDL)Wpajx`>0ulczu0+s`&bLyb+C2$mqIYH zzH5yV_2os)0x7{D0g$q&jsnE^j;e{3q@=c!odK_jzY$zmQ0Kb4yAw=N-`CdO@VYi! N&B|NI%0yY_e*o-LB}xDQ delta 58627 zcmagEbyObBwp+qUX}un!HukRZ@390&vlLI8oy zotVAs?KCxDKv0f!%9h=!lP=k;SYgY*)U9-ykub>?FTHZWpq;F=H7DmB>|oKjr9@Q{ zAPOV-JZwiduDz3ZI+Zvo&*e)aV$}>=(C5IQPipI|M6UeL9O?dw;Xx;a4X2<_3Uerw zE?ZvC1D~|N--Onl^+%UScl;KqamEN%f|Y^oGDIPZ0Pz ziyGhXjUaNHDu~<>eTLBj<7=MmKnZH$PO;o~Ra0{i8}!8oL~GB}Jh8Y0H;=Z-4LR%K0C z!0B$NmayL#!5SrmwYl(#`HCg{b`xmnwSR!#H%x15m^^D5)gj8>8r@%S1Y#_{us{ z?3x{!Jx$||Ul|s0{Q09=-7I~dfNe3}z*9N(#l95e=3KN%{6)-S8b%hema-ybR`0HM z_+6bI?m#SDv28PAk@4i`9Iwvagp%X5+dD(86am9A_NAAHJGbdyLdU*o)J^`zlUFfU zVIBb+J9!ffC3iMockib!DD`LCiFw8hhEg(twlsWX2vH;xAT{I`c5|@*6U?)sZxHg5 zzTT|O)={`gscz62Vc7ot7A2ScyMNSOy!7oPrs0673(o}LvDWXbkz0_}Y6QK^@aU+;Y z(>ihE%wwvSG3y0d8}#~TT?8hb;|;IcPIX9Yp7+gD-;$V?rjwihywP+JCH_9Jt|Rhm zo#8vOUyFjD8g10CToe%MGLj(sa3vKVTsEl1W01&%6OIaC?V9%bd*hupc6)VZ zpyGx{J8_c2B5m;!DPIkGr_g9M0Fql&t&XIZQXx2SeXA&eT27cXmV+kDLED$GV!m9+ z()ge=lX$&TKfh0;Q~6b$b1S|1@nw4lkK_@r<9fd(c6Ix&9dMKe)@UmQ^c5Yycr!lo z^jZODId+z!ExydIhT`Faasm>f=1C-3D zu$VG(bxH6p(sQu-FWs|-Zb?mJtMZP>0wsx`Hkm-0-aZC8#64zvQLE%z7lSsm#(mA& z&!VtRQvygde;cmWh+}gIi9|wP{g8V*?k}dDEch*PzXul?YIC!c`om7N|BxP^4K+)^ zR+>R@<~7SKHF0uY);-(hnKzp=g)G$vhAGv4-?Ud1*Nd}^>%i;}@GtkR#c(fsu?{X! z7a#xvn<661$9tRhFn^Wx&i(#=a#`}mA4sexUn-^fUSdhWFqI57nyR3I!=^u1u}> zdo;6^If|taJ2K_!YLffnYVnO-J&{C@MQ%uCsqh2wq50jmt=4mdYZ6?QuaK!^pg`NC z`yW)YZnuuyfUn0{t-$BC7W2;lkxD6=?r1gf(wQH_r5YJ0ssp-O73Hge+RS^by;2dN z=58Y`hfyY6Y+(L|@hdcxnmruQ4R1pP3nLRDP44tr3XG?-|~fzbnagW>tRv z!Sj9C#77uGik3xZ$77mbjZuKc<@rk_kH@cwTIGF-Bt&nv9KSpJ{E-Tb_9r`loV(-_ z`lNm?@o3)l_Z4DDg~|q>7fZ$bGvG&1Jjc^A=OoMP7D8?MrGay5pOgE)U z7c<2qCltR8qgDkKrVe)RHiaDJ2(ry8Fw5%uq^QEFr~-xIH#G^^aJj#QD%|xkJDaL; zDfx74#lZExWjdN0<1PgPJ+$a?LPeGE?4_{c=vYDNFY1PpRE?moQGLPy3mwH%L<_~P zsvkC8!@hWhlZsvxLvoD_BIvAZ0&|SFvm@t3gIJ-N-W2hhG1`bdHN0(zfi)!4t{g`s ztbqtgOlzP3o&$t8>rcpAlRB9FejTJS@j@;aY{fFffU^yABkISh`O)DYK@&*{0$^Dg zk_IX&R9%dua3+Lt@w5L))0T}&8BM|UF#}vPi8z`{ z5;?t|@%5nEKE&oO7Go$=tKYsBZe|qy^~<;oI`;Q+cLV;G-nvfY^B_wfK8a!GJqU&j zJNYlfj6Li%cI-8H+I*W><`%_@hdPC`8RgQeNDxZJ=%95$jpdzD>HC7BjG z#$VK)$X`?f{our5^>)W=1G6f- zwqYX1#q9*s)FBz-suRdgy7RG4HS+VOC+4>#XwKgeH)$H3Q^8&lpuG`gxr$Mf-nM%-- zZQq>i2jH|ynr_G{$ZnvM^bnQFDi|7|;@_MX`@sk*`RSSPcQRuVV#z;;B$7_l#4$|+B}*CQLe>is zniMvyZc-}NR)or>eUhLv;zePxpNVN;Qx(t%39y#PGe9PErz$%TUz!G>z^7a-sE55k zf`3R)TBJYKr}YT`z5*CY-gZcG>q1RE9a1r1MuIen-dTe5b`>##1dlur8ci@ZaUxhy zsZUM0{>TGuj`Uub@5OPLHmHu@sZx(Y@)DW*8-jipbJhIt5#rkX0kM@M>)K%+bFH3Z z-$?`G$Y)I7j$_;%WvULo_L8^4CbYq9tNN*PHs~>1$u{)B*dq)j=5ga(iCtb%(ap0pW(2ST@ArbJ?a`U;*l3X^)KA& zAGp1p484(Bz^<^c9DPZy$SKTzw@)>Pu8LU=*6p}Q>#A+D{=4R%lC7Qz4G!lx`%#b0 zsp6j-9!2@gPu9Je(%*}tx%cL%$h04_`>iA-F1V9r79%BaE3?fTgnvyBQKOnnJD3d@ zUif&_Xlkv%gL4qQYmMgKfHL%Xj?h>Usepvvr|w4p0lA|3-%&fbzoW!|{uwMspP~FJ zo6X*_Y5y|EYYtpA$^^|RyEZ5lZ<$O7&d(qx6fpBe*B}_=zu=cGr zUFiKhzLlHk&fCD^l}@r++V>lSb5)baV?xj;+w`budQ~&m23Y!Jmfkdpz~9Z)_S?_H z4U--xPV|fA#bt`gc}_~fJg>2{Yzf%hxD=e)(?M>VL_B*4ZP+@;TFFK)8c%tA5kuDS zos`Ck$eymBLAtJk@{1~C#aM(a8*a5Tv@OGz%R2d$vrbw;_A+J9EZIC)%9 zu-g^ezq)D#769Ic8OWnU%WDKat1`yLhs2q>Eck~qWA@fEd!vbzgK!A#A5pMhd0a~L z^Agt7aQ!^@xx8*yVzNm#`?z$$`{D+$+H|N^)7`QpvwB|10tK9>q<6Y@c2^Z`G!O$} z%uiUBhlK*O2U-JaaCE3{=!IqJa4m8&zP=xOk~Ouf%K|icIU|;cnM{S083~D(WGEC}* zV63)!iDnE4N6ulpE>R{$U2N6`U!ukp8WrzK1*0!~$+$m}B#bbmQ{*aewB!_35WBVM zB(3ZcyQm-tsUsOwDdHJat-^6M|Hix-nMlB0H1gi4P{Ne0MlBK}sT_m)_HT7=kHBz+ zGsdT{C#Or?WK5xhh)oO;Ir2HhJ05TFyh?7|MpwWXEDB2+GbaL*!+uJs;xLVaJsE2o z5NxMfqrEVHnH;yUl=?Ly>m12iW%EoJ z8AO#|YoZ!mNWzdPEvP{e?^6y8Q6lQ#A&O;&#=bz|3T2YDvu>(Ynt^QK8!{xs%i%O^&AQtZp=^*$c0RD839@=*D%keplMmC-=SsT7y{?FX9)+%GeZRoO2I z^!yk^uICyM^MS;R#j{{q1VMuHU2$svcx)4Hq5L188JFGV9f7QfEa7hTpGL@QR5`L$ z&=nNe+$0WTpwH6ZF!~r=CqU}MP=inyeMvnP$aOa-jIU1^_i%6VHTq3LN`tDMYhcgq z6UGXx_D=%-7CcW6=a}pS&3v^Z=TZJ*xBOkJ))}|vMy&9!bJTltzk9VtinsW?E8k^X z-Cb6ngC9Xo_kavDp$k|xY5J+`Fnm)p^wMfh_GNl}-eLD1>olW_qTda%Tj|T(07K#* z^6rPG`wj%PCJQSmhC9u3p46|oA8+hoxObwkX6+JLen;q^zTnOD2Aw67*VZf(*7)QC z3**=OadH!aUd%Yp^4?4QS!4LB_id}kTm1O~Qa{8o@c}>4CVVM2L^?8t*|}s(&$u`i zxq$dwD!BdfUldUqJa)F}@$KWurv{m4BFZ z**(6lZm{{igB&?uN%h^HKD91ypFO_I2jxEpz#5PK_C(BYnz|t-*tZu;TIaq?W~|Hc z2#4RA5CJw%{QyO!mzO386sY6s*AOhh%Y-${wj#t<+9*x|h zV)V@!Cqa81XMUSnsn;{b!(J0D6M;xXxMJwgLSN@e&wI?>5_CVRBkeSTcAy&W0T^b_Nd3D6G_`8mhQo z{(i*%R*oWIcWeYb-%}=e%bt2M2Ie)()Hqtq%%8p!8ZYBbk0Bwb1@059?c6awG6uH= zvPRDYm$*rxilWxx5B9MH!q#lT-lv|8SK#3ES^RJ;wOFs(8R|p1?XQ^=)Z49oBX2yV zvVJ@3ROaAX^q`2)CDiJ7Lle&NF14%5Ujcr;xJ+q3ysyy|B02^Z?cOS!E-?yD6|I9fz`_|hZ{%6n92%15Aqm!G$Z(8B<^T0o< z2=v|X&c?Cxwc83}IOBkw^CRm&D$HQO%Ps4`LHrl%wmj=jUl*UvhtBS=q~9Z-^7$?R z-Ggk_9O&RoO`{oYQFexgw9AqOE_qL3GR#j14_AZFfc-Lwl7rydd-mWq@j3DN8|r^5 zMy)zZD&hrCAW(V~2P6UTkHTc1psYMZhBkZ^5Y?WI5hljy+w5>Y>|i6vT@sxt(_iPt z8Q^o`a5^F^zRh~)+Xa`K8AJFYC%NS4e{9PQEohCKx}oH{v*MutFAVuxAkqA8?F0_D%etOkcTn2{QbGC;wKu(*6O!T|b!?6k(h~k3(d?F!lqp@93zFntH-)CIYN^X&g+WR1 zl6*yhw-X_oavhrzph81Kk)V-eBSEK-5QC?>Z(w=Beuj9qe{Q+DZy+tvHoosY+pp5l zsr)rC{QK&;QmyR4_@L|Nq7~>}Zl0>z+6THP4GeGuA&mR3cxS+$+H3PJ)-#ter!56v z*fp-t%aBew0ps~2qndA@Y+X;xxYX(9yVu-Qm&_aavPxvSttA{UqqRnE7eJZ6;_6(| z;$LTu4WGYAu~DX#aF-9~;CoLwg=DGH#lu>a2oR_g3w_r3(K+mMCUlxE9Ka4YwK*M3 zT$#|*t$Y-fxlrZq0eGqieEh2HNB_&*IKbp)Elpdv4uH0kzlBRHuy20`qR)w53eT09 z-mxzJ3}ML3Z7MVMV;OR1qv%J1nX>9I#;fg#bbbX#<=f~@ji?ql$OZNcCe4*1O(XeX*iX zj1|woK<~#>TW);@1}&O!+5&CvZxh8djY>eLlUsr9`L@jR%2;7deg5)_&NEkL8Jba+ z-E$XN^dfHz3<2@TzGXPujdk`alO1(EF1E^QoT_T7id=I~5kq^Djk=_!57`pVQ>+=g zBe~?9RwI4-yIzxH+Cg&n0m+ZL(YPE^M2F?K! zUDG^GtwJoA35J}a#)hbpV=U#eY9>Z1Bzq04IxV8D^xhucbYeH2n5`=pyks9RhR!eD zm~q=@Lttw7Hu$No>WO zZ^^en6TYh-zS8MN6)DB?j`MZJ@~FTBoOHOI;Qn!4wK5TLeRG)@+_7Qkhk3HBT5I>l zLF#zdW?J{y!R&cXC2O%|tM#TDbg0@I^blu5N<{OoH>1CSo~6WbhgMZd=2lg4O^4#F zZyRCRHpqLm!hhbo5o^PCC~!Lkm2F>Sli4&o>->&B2lkISZ$>iM!0QdnsG=mb3EZNQB6aHkjWf_jgad52fPq0inmRD z9EvwFeefS$TKjkuog_>WEHh!0=%xaKP_gzC`sk{!KLrv0JXBQKz3HQU5%E2;w5xw|ts&I6cyW_zK~G?j=D|gIQjN?tpb%#_0gyvRb8_!DLxw zWJBv&MaUMUk33cc5+`AQq)O(g7wR--^Hb`iGDXRUdW^Wz!_Mf>gS+@pV^SHYyyB_> z`lG$a3SsJZ(k23N)JTn1LiY^ABi^Zbsx)`N83fPHMZPVq91g=oWh1$bGSEWj6#l^h zF$8UnEeN#BO;HzBto{*LDN&AK+lKKMO#rDMlpV6NsmF zgl#2}t$`GGGBEQunPAka@!WPG7!$VW<5lsjKVHAL=i?F4Os3t5GA`vTiJW^Jijm%0JqNy0) zLN&Zo!z<6L({)Q3(K_REOsa`CaYYNt>sZmgT>0ukJYlM+*~+ zVD}D}z42tkj_-`$Nd$rWbKiKO3_Ln~bB3?o-QUN)t7un0Bpd$ovD{JLGrN zB-JRhRPu#TVel<&Sk-L09eT?$w{^5B@SZScPkx z;}FE@ZbkWcO=1WFrXqEae@wj2@QhiAFHaJ$2)@ zN&wFNQaTLK%!&j{jTG<$-%`{!1LjWsNfvGEV$(-%bW`IbBB0W(s?1Gb3i?T}!A+oR zW1dYWSy{;ESyY23#QN5Fqk78Bvd{cJ{KCE!yJ8#%gQ8lh zq_1NJjk73DLFMqa_zM6%EAKHgo4a3(D1l{AEsKrjX&KhqWA<-(^J%n0dmr>MW#U=bO$&uyR z)9e7~3)Lp$JP;GVk{skK5@x~6VRq{klmFhYX3xzmKb2hGjS;HrBC2cFfLBxJF-Lxz z#c)Xg0UeqY)Q_|S_hovsE*=#cpQTIsrXw|Bhh&rGVEM-CFy~}~e&#;5IkohFOt(@U z^_zP0f-ld)+nfSp18f{sqU3m=$24)Bp&gu^_BzCx{&rw|`%VD2pF!>Q&bHABwh$F5 z6!Amo`@CH9t}MEo$pqv>a!_9hj33M*)Al=1XL2YTc2d=VJ{H2(TOb(Z0lFajN#2CL z?sz75+1fpWz90DEHllZ#7k zaBZ2W5cOm>9yXtJW;Pf%sSqiUhsq6N@eLbfOa8a9WV2b_qC{o177xjvhFFyyL<~gJ zQU@XYMX^GlbwJaA#M1kqMN0Terh^kJP|fE(K$%S!(#e;oLR*cVgU7TE;oWqZE|BFZ zn1S@4vl=gdM24^yiSy(=_eK18^LlkAJ7W%fHpBoer1e3Yh?VZH2tanPc;IE8j3?c3 z@1_t6U4(sa+i7%o%pnxI3S&7g1q9Fq_J65erj}mTvD~Mpr42@Wf&aMuarM2T4VZjT z9(`N3|D&+p>-bW9=^fL)ZSjL^!?Cqc`m9tBJO2_ z!^i58!^fHkYfs|3#+0qY`hND>`aX(H1Q*KfKa31+up65U@UO)ccVmI1TbCpYuW?bXH?JOWwif4vkRJS;q^AAC+Qx0cb zEeCmlG90a#5~$Q>3sQrZjX%1(BoX=_ty7#e!miOob%*y4me*r=eTdKyT>)o zIRD_C;TzRG^85F?dhIw5Kf1cjLrC;F*xBAm=%4G}y0ne=5BSmc@6MO5IWI&JO5Ska#V6!8e~+Df|M!Vv#DhqGsh#IWzbjrm zN-w(!vIo&fQ7PUCfABaaA}ST?p7A~*t+#(FN!f9!RPO@o7i)t7vQJi@Az%|{`r+Y! z3BL~}6jebhcaV=y$>gyukRWZQ0jzm^DbV^J{tC%ef;nqc=b#Z=AYdY5FhLp zJ#sY&pLRoR@WlKx+-ghwMTi;xasV!8o^qSC=#A^=Re^uZ1L|x5?geHOY2h2*g?dwd z!JBx~cf4U&Jkf^$#8)7GHURa4^e*e~L@wuW67L}+pE=%BM)Kz#$zw@jiknmatPcXh z56}*be1u3FS}CJ`C5t?J0mK~EPG zj5|igVUCfZu*6{;Q1^lIi9GMONz|s~x)tkJw}Dt_l^hL_3qaW@CC#xiK9J=n2q0$KMMY343_M8fBYOl$F?4LW^)BT$M8MPSDnWce&ipAQ$M8^0fw zT$`BiNmw>e1sbXp-1ThZ^}iNNTF@79^|_~10zhvqrJTd3&M-RNACoE4Y3~g36gry% zJtBKttY2i)+aQ@SCx$Bo(#z(VKNc7k)f)T^n5VAsT567Vk?&lY{ux^6VOnm8XM@Ws zR$5eQ*k={xv2)>ZF|d~B0a2XzGqhFk0y0d$Gq4`8xPXibm+xfdEe#1DkPeAfMZ`77 zVjiJ4xL0Y*P#FjfMKEF}ShQ43Oeqq36fpu@5Wl{Nn^NokAOicx@Z>yW zK)2m>@;u{Lk47VBzALoh6E7rLWB0FdR~RuD!LJJ@FoNzA)eGY1vYNAstNpwsd& z5I#gz&u~ld_&o;3#FGH=j$akT*gdog;U7p5orGZ=(7+dP1PETl4H_bgrf_8^TAmK~ zR;)K6O$A!zpBY%gK~s(JDi~29Ma-G=Ykn0m=YE7ta}H%!JVo0NII~$$&vJlk-CN0F zAY%jjs7~HweUm(rRr)bwdP}}rB%>a?wEQ7_Y%ZOvmwqCzNk}-C9y?r&;ooL#8m~zc zIPPMch~(Y6)I3dYlqfaMn}Ha&+>J@)M8}PuI9h}6u-t7*{UoDwzFwqs?~DA&aq0Y= zWNC4@oOHf`=HE$7Hol4y0Hcr`AH;*q^G4YZ3!J_1o~S2@FcWwMs)Ff=(<|H-%iRnL z=h-yV=gA$h>IdbchARN;=9B1~!oc6yZd@$hR+AMD{`oDLE+<(fXxOiELeDAveXH_62n4S*2?n@>N zBj)IlsaYAca+8N^sB>r@V#Mgj%9bn0gPIpu0+Ym{RS4ohuQk%pfu|GTk1DScBPjsT z$F^#<^CkVH7tYD18M*r>QuL=My;HF2gzZNm8LBgizq$Lkyuj|E8^Oqv6t3oYZgakB zMT7zui9m3i1nXsBYz1+j{L`j5(NbyGR;~QW77Nnx7R&w874GxtZzTWM0O}d_seRrY zS^mG@Z$yvFKPBLSW7sZlaJ5MsK(Y!yX8gB&mJDkb2zUL@r2GhG}aM5-oXgo2nKJ6gEuI_8&LQ^{J&Ja zONiYe6d=^J*No5eYyn#$t+8Cx9{%Vw?Ul zVJCvQZLH-owM*~^3!@&NniagtKZiYEQ8Tq0A$x# z8<$>h3NHR~`~Ajrw8>)c5khfd7yQWO>K$~X4He55)filS_o4B@y++95_|DvJDoH_R zwfzdrjX9ws$ltuu?caH4{AZY(2k`?&k_p_~AGl)qdJRcg*rTxUXFrJ!@38=IifqXq6XHNV+(RK)xCJ zf9p@$MiJ*YmyeDO%Vyu%bs<=NGpj(S8SS9c>`BP-al`@|+aT?mCgAv&fS$9UA|q3Q zHOzCA)=EH<)^^mn)J&{EI`{wB+*(~8n&>7k3z=xdbrtUDqkpHaP6W*rF-;dSu@cDY zo~}>mQaNW*JL{4;XHq(6(mJ;%xQe)Pi5ncs7%(TaO&y`7U%Lv3>rN@{GScK%t;;2) zS^x>yP+!dqUN~vQRTO5FVpkFQ+lMzY4`|R*LXkx?H*}#7goXZ+>uu-!<1yP=QV4ON9}OO zwryxyoeBQ+<`kiI3y5iiS?%!7S?w6m8=#$wo}#x!x>gut!oNlO>4}K^=Z`>it=rX$ z2qx*V+X#xP!e4J}c)xBEopNy4f>cv7`m7MyAcj}i*@6* zO>0l=8M5#F+5Gz~js??{EH0&oEL#%U7Hpne7Hk6so1-w&GM_`n;QP^Ulb+|(>0e`6 zk_$hTLK^?pn?lQJ8Gt@2eIwsw5#oN7O(gmiZr_D7j<6$@NQB$P=+$2>VC2L&jl@*o z4e=a>TTtr-@m$Nta;?|3T{(=TAOx_?W&zQ-eO5PLa9HX_CjTBv`@nm^SKQ-nwvUiQ z1sR?#1+5^2#99D?{naaKZ%v^0M40jh%h@a1@2GN-ttju`Xr1e5z>npP-J{($AiUm$ zxMf$`CA?a9yD4MuSW?HWwnRvO61#XO73EEt+BKeXBm4>%oWGcFml21mP7O>v=J*Jf zbvUNp=z6W7Tt%@5@Yco{E2ZDy%vuOMe~XbePQ5w4%46?w-~RkL^=6v!XCsVvixrDn z(dXzt6n}4HYHKA}v{W4%6C9SlcUA@jXcIr)s1R6uf6ZX2%x|SCTvL9jUYtUyO)FK} z>>}|X*)Xf#dCuJRj9;;wQx zUiq_2;c5JoF8rsWc_N&@L+i(nt12cK?91TOeef{PR4-Ouq8c+I=4EcB*DbcHwW-l0YNqdyS;k+lN;CS#&)56U5tL7+>{|E|=z zfKC5@rA}Ypkx~|Wa|Z0ml{z`K2{Aug$N7b3@oBBk(2U5k`PKLR|~ZxVbt^fm=HC`!`<>6qF`f}k|ei`A{)Z6v9zliM!NiOOw!{phg_P?Wo4{s zrIMtIdrOAG{*lP!IKYysyppCP&4J;YEp1Eouz!2A_FDDj*vqh5V-EtK7p|Rb+apuU zhl$vP!f+G1P)}y7at+}s;&YAzn{J&C_-jz+)&@RO=5VEK>X}H{KfS5aPhVoqKAl%0 zX310EEYEOD8f?_975|7ja5;18bVRsqo*rT0t@ z>gb^^xr6iuL^Gzp$e_y*o!Hx4dJ<)wXf%;)cdKor?d&8j-aKC02f2-stDY)^Q6ykgGm&zV| zV#iS90p<>3!#m%Ya~P&h2h_(yvXNT)$E~Y^E5zjtvVdbN!2>4MmZch5ra-4%{V)!> zzJ3PVp(Z#|u6`~>mCmzoAroq1+{Ay6gZ0xhwYE{I(nxzOt3^)MZ%K<0d@d8sA24WE z9IY)|{PAf?y^TS!AgBN0NY;B)&Hf`9a%PhEv%=iR__j2&F$Okdf`VxwYudAVmVYZC$GOK)(< zYgHu6Zg{;o_7vTA807mgyQyB?;*uu*yxDf-y(@4Nl8|jXav3?hjil8B5w|jM zWlSR%pX1XZcrAM9;t#?F4=;5GXinucPzd4WH$dgAPL3i#=B~ysq3 z%LVN3R2elw7Ws@5o1z|_jW@Gb;D+C+Q%1~QzTOM8BwW<`D3g@$Rf^_r)j6**>B%e)Ec|xmRW5)R)0eqx5Tl!qde>U=2H}l z)NWqun4spKg#@w1;6yyZkgd%{b{Yc}pNjLvZJVIXI^pog(r^U}oECqhH|?$n?*7Q_K_7aSFFt}KquT@s;a;(D zvxiia$twuelszVR@!*5s<@?rtF+ci7m>PQkyIvg*pl0Ct`17g=9-^CF!U z%eo@1_9e6<*VPT8?eYF_HGjP6J+@*!!JnPwDr|=J=VZ2*ebVUCvm;t0yt&=m4R6j3 z`N}um&v9%sL9@pFP|H$C4Yvynn%rb4L=0GE6bLr0q$O1QCX}He(_@uUyH$TyeRXm8 zL~C*L(<;G8(Ozy9T;1q;5e(ZCjY`dt5)#)@~;EJn1Ty6yzsz z=yLv2$DhRV(VvCMI5w+2DL0;Nt{;m79pd6swUZGD6DEh$?{%SWmkRc@W0b9=hD&Op z3wsf*h#9`h{XAESiTL=SA!_H26+T-z9cF$g`g$4Z4hOH$ zZrDQ*^Ijj}a9c1oNL(MGMLH;r&Sf1fxG^u0t~ylPKz&XM_*r%uNjtc2$6GO!8Q>%7 zD=ap2VoFw8h1L=nfqPkkD*gJ8mpDWG4G%)vAL;mT3AtTA}(GmTO3 zm3NkBtBGNl-w)Kih&Mj0;&%iJ!Wp3QI}m{) z24@Iydt*0EUgGu$*WNav-987sW~IpOd4A2p|3=-;O;aJ+b>r>EJ#}I*%-VSp^?Npq z^z{Ub`;{u=E18lSUW2^60%gZ&M7EK%E&2OieOt6qbR7u*CWfa}fD;=d$@5>R8|*1O z$KU$B8L~uKSLh>+O>r4|$V##T!BbwebK0*-hnJ_eXF^6!i#>%`=sDXRQAtxNnZqTD z!e>P11Qp@Li*?g~z+H$Q7sk8$K?Q#@HDZEa-8*i7v2!6ZOf1FJXCWhQCHQbSm~Fm` z%x6yr)~@hx{HGwa5vb2cuv&GCvlyk$s_|(-ihcfZZJprIym~XF6e^ZS4N;Z4=C_^t z(x2z2(_b;BvuY8P#-ffd@&z@`LdbzigMR23ziK!@b6W9&p84G z>x4@z1BPY0*fCT;^tRpnZ5Lbyr)v_}hLzK-cVeUKJd0h%2G!*T$J~Byp03}8yJI)? ze-4wDjab!g%0t9`kP7oIF@kmMYlCY`?{?^F3x`{rsVYY>pUQoSPQ?eWKdb4!Pj4Ch zoQOXF`e5}g^`1u?PTRv2B1dDv4M`~@j8>v$;W$U%H40rXwHYODI<~7^mfi3)BLyFN z7QlRoxZ=eMV&=?e1BqJUU93RMT?Lv^49^e-HB-LTJmd3Ux14`K~ZZAfmTPASK z*qUZ|$NIwx*|;CBY>%r|ca((PcC7JyPOWr+V2A9OHx-fEbFH(rVViTsd-ki>g6@f? zRiC+oPpww;G``U&3|%Mr#S}%}KYo5+HbHqOioHUz>d~vc=n+W>AnOxCJ)I85gXHdZpLRaUTjm~21-Km1s*c*uu#YgIr#P|z?ju@)rno?DxqrT59)NuG#2gsm$F>Vp?>R}bTj`<5qT_Et z{l^T(&~%S0I5Hh^m;<Wc|61%nSYoxu!i%1GOJdkWuQ<6oPKOF@48YPmG!E{k?Vj}YWntwiC zH$6|(A!h0rE5IcM;@{alq#mRMHDV8rZ^|?Gs zBhFE^QuWFF0z6ZUpS)WzeT^n2r~HHEihghMBcL(Som1&R6nR2ILnMG!RAeBjRAp0s zzf~`Y)J0&7PaS^>=i_-h@BXoATm9#XjM)14i0f!B+$cvJwPP++$*q7Gu(y&#%9;z2 z;@D<7RSo~d6RV!F$7{(J4E6fQkoouJ!QHUKxfqN`5s}48&_%?z{ZEGt(8F|MFzIYj=*W8*%x3VxczrPUdjik1 z(XPj$+#R!#j|`i%X6V6I666qx5h6SU?ea!@b+j;L9_$n`~VCDyRK4^T=eM&_?0E~OJ? zi&BYz+^0k(?h5m0D#ZS1C55T>C0n>RlL`87CsKKx5kxKYFsRxz|F zR=cI6K)-!*T;4G$9QMQ@w-VEw(X2iyhiw`MQ^bX~SpK$Xwdb;nl_2&Mb6SQvq|SOc z-?>TO(?M^I+drD+QYeS+b`c~w;w;Yz6I=5MamZi_q}4G|X5cm<9ulhvewa>_X%{UX znyM)Os5cM-*$f^xacGO#IdkfOHJuVq67dg^ImxKA&$%(^&t!_vs3S?%iu7NPHp~@5 z37Hrn#$o1^Hd-xBJhRQAv_qw|&12)7=288BzaXg(4T+%&;J3`>NYWaYohPm*E z0$8|u)bIy~6=3YwV7_B^o)`&Q_wk_j{T?JNYXrH$5H+$nba7h@V_TGMTO3=AIb?F1 zKu~sDD7}I?DlLjjN&@?vYOw!GJQPoaI8O{EPn3E2 zuyanIY|emnjwtb|GY*QC1ZLLU7p7+>W_m*JDZ2nPO60)<99@9SUYsJW9M_ z3xj@5gF*KgQzFcaA(V3_k6bz>Q-W4!I8U}<-6>NNB%L9_^-*GswE8ca(6>6G@KW$% z=wa0>VNMcc7^+r#LTm;j=>_OeSqjaIP876G=pu-7!<&%i|}oWr)DyTsf6FJ4@QeY3!y;Pudg2Bd_?cJph}N- zg(3!?=tRh)RAMkv%$&;3s0?WL_~@6%+m+@y>k1%XQ8@lzYe9?qXDwN?F*r>{C>O^f zv>mgt|9%KgByVm1o9WI7gLwUP%zKDYJkDJs2mi<8>q8$EObICer^Elt-v3#(&W=u$bVFb)XVM87j963mKoIh8^Z7(3-qO7(~{L#VJYJ9F}&?>c#Ur*pq`G+ zNH$@GrGz8OAkv5BkAF!ZCFLa^8Lw4}EDkw?M;}%o{xyLssV|AhE?E`I;BYbkkNdI0 z@j(L9X)kHX8mz7;;@n|~>&J?~w-GQ&$G%Q>-C9N&=K+JsfJ_wrM}dtDY!0%!)-v~T z9x=Ix-sB$;7NTlmpZm zUJs;1<7Wxn`z&ikrf91U3V<_Y44ahU7YfGZchagfS=~{Lx|5L7e?6RCUjX=)_V?dD z_Fs=+w-vBXe|24j)7oE|N+rQ*pe2euMWCTT)*&k(6q7Z-H;= zovbPstmW=e$tPR^2JXINw-GQ+f5oUWZFNnFN-SZtA2$;Jl3+^qO9e7sn-mS4Hw+$w zSQ+@&1h3@0R3;;}d7+LI#K1d<`;mQCAU;!BPz7TBUM0#tVWR(f@oHK~7 zt6aC1Q>J>5Fj^F)Ep8B{o6s`w^aDFkCQ#`b*p)643}R|0`q*;wV;lWoDvM?R3q^rc zdTuKP#_|4_s?SpyB}GDvc4j07M!)bliTamjL8t;2U{ydFj@~f<7rR{)X6nlb1|Mf8TM*=x% zoir-NR>cZYx0}r&M06@GR&tBPkXcZ??R$&7Zowb7eFA7unc#F$S$-H>$(|rElkqC+ zIIfjxvFL@u#P7ycAV}CqD9h1QNQ1cehVbq+;{MRz&97G?zKc5J8rioF8TR705lr*f zDE_WL-j%lRv-2hdO*3Axsa!!dIjlAsX*~%>Z^;6qyPiktsO=-*Wj`7d05uI&nf8_y zNW1uoFwl$Vik9h>*P?Rsq|XAV`3_6!>15G zT=&cT9!Ao^m>R#Yn7{Rwv-E|NFT zpGG{C-6*x%j?L6^m8p%Asg-lR`a{>8$jc~^Ah<|?*x=kPhQ3gCfpollD|vCTzE`)O zrxPsog-d-@lD=(%6;I=HYGCwVs^Etxn7b;pHhtTIH(a#a3_H9c#O!g?9i75386&le z{*?aefu>y0*b@pIdVp?`zjyWyqiBZ$%a+CqIqFopzc^amR%Pg6MN~ASL6f5awb_4Y z0!&SBmf^<=H`Cd<%l9CLOyBhAzUf^&$R?Un;->Z)xS-lQ+tbcH;Zx9>YD;TwGjO2r za9PS`R*$MX!C*MB_%ew^SIZtI-UAqXiYd80I0RgqMpsXdmuz5|V*jmoJSHwwX6YT} zDGY%)j&Frql{smX=&+VQ-mSgd0x{fxD4;-nkk-{meYz9B%h|ThRhRc6h7(|g>ZI1@ z^6h<6CtCiW8C073-)cqqyIfJY2{j{tslWM@-SEE>gT|m$(4d{Jjqj!M#94keQD-{e zjvaaZa~T5YniLG0coi=;AIEAu$Dsl`6v=O!MYmV|L#X{+lHV(mPGfJSL?G554KdoV|iZ(_)vs6oooAc_3-};GY&bv`%a&h=$l2@dH+}L$p3Gyd{32`TT`s$vsioJ zQ4+yyMHQnpcjy! zub{V(pl_fQNYFB<5E6s{HRK0Pfco--CPBb-e$W(XBR>cU!h!@%gDygXWJXqy-9_Af^I^Ezqq3g>8^Tfx-^xVS&Of$e=)B4`f#m)CO|O1A^K?FY;PD zK+$=vouG`oRv4%h+*%E40k_tG2Enbhpm}gmJqRl=r~z~_FQ^f8B`>H6#G4n?43fzU zY5}R|1+{`q^3INBRe*;Ec@*I7gF*}N4nT1Qc!!|u0=zCzMIK%^s3Q-r2Q-?8_XYGd z53d)rpNH26BFx9@2S9ZBcmp7gd^|WvBp+`ObT1!o2=q8#p&qmfZtaNF56o*#2I1zm zrhuq(TT?;Tb6e9u0=cc}pu4%PaiHj&)_71xPHO_FG^aHY)RNQs5j2?7`iT>?O%2XB zjKfs47J=xh-8AwnWmm`XdeuOyHEyXTVWe7iDNQ&uSF(YNvLst&yv8IuW$MNxdu2|> zB>QD=jY$s63XMsQ%KD5+PRcfn@vt~?)n#80<7iz;k;A4T&32N(!{xlLF8h+0q_jdi z+@Ne!JKU&jUpw5Sj7}%~NtuXF_|vk-I^h;&9y+bWoKMwF1BjWlzNYvS&`4!t$pu~H z3{!Uw47;uc+)7O&qEX8Zm20Ku%-y*4c<6~Xx8~3V?cOwP?#Gh2+@nKHhTPLbaz@-= zhnkGIH-_Ylx%Y>fjJdIft34w zC7KV}4R?dsIYl&PUJ>(Zg{JzG(pY56$OkcSj;hZD6N_kdr23Q7xMerU2eEMOtIvcG z%V-g%`Cp_7&X&0wbd57foiZrwrj}OfEHRB<_Nbh~4NfTy%CImEt?0B_YMPwv#|jEu zoJJay;bD4OgK5C*Wtz(DQ3VAaPCpIG*I}kw)akQyG@aRx7mumQCDv#0X<*qVib4FG zX&QVHVK!O@>DL%(5ZQ$m_RgVUhFX28X#_OV*@SYfznAIfDs{-WvTz=%Z-#`)XpyFE zq-T-YXg+=~7e$?vaq+L9o3803pT5eN0Ql?iT{K!7>*rO~%|!gZhW``l)Qfl>N-WRb z1=u~kN<|#3DHH9VMos6Mys~CWNfOt724e!MD*_O4ZPX4k><%WEsOZ}1Aae|mC-LpZ z11{4nmy2F_cAH-2uLu{fh_HS*bJ<}QpPldJ+0)Li+TUx!0|5WQ0m9zB zeE9V0->TtXoZ;SdSv#BRI`+RwTCV!5+R=c?(V$B#_5V3;-tl<-JmV_O&3lTMF7dr> z69V4&eNs^S!{1ryMj=T5+?}9n*vfw|yculr{zjTn&{OkvQ@ZZTSI{Ei8)eZaI_ zWg)tE_tN`!7lJUib`U@0hS?6yq zkV;7=67DrPL#jGQtvdUwdpXgCI9()g!n^s=@@I#dy%7BSlOs@$LrZ)v&jd?pX!?}>B32i`;Rc+l&sif*>FzIW#v9gE;Sa7jBbfgX{v1y= zO{0iEY3*moacutviNA%Q3~QwOIb`{P+WuF;nPDs6QzX`W7ySJ1`Qc1uV;(mF-(_}P z!4+IK+*HD59XolEYlTf1J%uZ1q9)!`mhY_QUn9jCfcy!re!`x=XV)L3?9zvys{JYx zWoTfN5KUFihDwkV=j%tQABmT=?qxNJ(TwM8s0INZoZXMGGl;K6{G1D~5p~{^<@||F z(OVv$eog`>mjg{El&5R|O|u@(`~J0ES4iNk@rqL`9p8F_oteJl?&acl0R{$(&X8$N z$c$JU?;E0rv-l3Pmos@I5TQ$(RBuDCUuVP1x!`3KdrF2w1N{FO;%r~1?Yf+>mlOHF zb^quaW)68#x7o|d-_G>L{FOOHeJfJS2Pe+B)&n4y{FrQVl+B*%9k{ZE*BKGw$;UBbEi6PV(Wnp~8x2z@}; zMyTjauj@oJ;>2u5_lu1`iu;bgh3EtSePWXn^}nXLwN3O{ynGLrH~juT`C)%IQok=u zs5Bkt)7OFRRD$0;?mI`F0KQ|re+0)>Bh3H7K!4pNk@h)s8pYC&3H-+}K>2$1&d-G` zjBKAcqrHSdx4C@uu67ff>2Q=Z#e-8*EbxT5D)g|w5I@sNDe?bAvyqo#2?TL)J=9Bu z5$o!76gPdKd6vU#7R10c@%U;xvAE9HqNYe1|2*D#Y7RO*>`vnQIwr;bQ8X!eSImQ? zxo|8Fkn_v(Z~n@ZVI zOziROxN1OGhlxvcvR$tdr@XZdGUVADU!VJrUU)5}UFTx?g-V+4{1*;*u3UxUG~!=* z&IUx_)3W#vgW^YSUl#2=+ibVH|n3Y$P&yx|q!r}i8 zpI`2Y3+}&~YN!IhH2dzwT?tMf=`%Ma*ngk@9k$vPfO7}uLgziXYb?8F7a|X31InZ? zo#C1!bgkbl6Y`SBm~`x{6jrt~m#HuE(nOOA(;R>9e=+?Fy^&Y$Ub`^UM{(_J=t*K# z{3`SN_HGekaa z;$C0v-pCcJfg&={uZ;TdaueeD<=p3}XmvfyT>FVe!)}wyE^$g8oXOaK&@YE_fAd?w zjMTZnI)n1W9#j8cSRyadWjXdj(Kj=Dq3Vy3dqwI$q5e6CoHzTw1B2x3>?F=k?>4me zJC$j-0JnxTfM#TJmCRj}W1rwS#z7I5Ax zwGE8_zkbSj*qs@N{~r$mat&ZzrwlqH3G9_8zna6@dv)R(f64td`k&|PIdKIy{ne@C zcM9hOiIn-_n25TM8GWZ#>47T`ehUA)cy6Y`dnP=b8bLOLCaimNSmd)8$>#{)T>H^s z;m1!7gumk~X27+Y&LM<4FgJUW%>N5K6QL;9Xa z|7aXa7cMzp%5q|`R1fj`sDQ)JA81kfBZU939{+Y8zYB&!>KzKsJKP4tc3qre`>Wzuf4XRH6Q_-X$V+@B;Ye;JYgg)Q&?>19%H z)SL(Cef6+2-2aD$`6EoPy+`p~m>CVu7irs7a>fmDCZw$5{%PoCBih|x{C4G@{9$SS z4QJ1l=bxVBKk%_9fyd-;-uDu5HUj@jVKkg&`qC-OZ%uKr(f+rbIg84ovsMHA>@~l9 zi}`e{^Naa~bgwe~6WLnLxt5Wsjb6!Kk>@H12LCvN=1So!H3 zuV4ReGbmP`{E`W0dhd*y1HZAk$sZY}^r-U!?>y&JD(J%*oqAAahZ(MaFy^MU7l z68;zO*Y!O9E+>^}N8JBJ{^wBzFtzdxo-gA+EeT~G+Fv5SdmDX@Rer@(rQyZfo9n+S zhDHmLMB|UW7%eC7UsIRieNWjAB-O=8k(O)t{h@N#uU&j&KN`jxcn!Ca;}6UI3h+xl zKDjVQ*X(N0tZndb`<+Af_Y`%8(-r@1Uch~(zDw8;z3#8#GwWnl@?4<4*X#l;fNVh+k5_)d@XdN;Sx>L6%3evHCGf#@pU1{<&pRIpB)B^AJgJ>3flMBztQ;jR zCDfuzbdV)dn+gTn`t^#No&}b`xa&SezHM7LD5}=&_#|q$q`sqUpEYA`+A|NSD+vqF zOZS`*J4WX+dTHzmtLYnW)Qo(Sj;so#&{P991zf6lo~<1QbR!EsjSSb6E@la;&b2rnZu85@;?t7*q}ZSo7iw?4nMWA&m6Y0$mS;*LGms%*;>Xrs8*y@#LD%k3mwkg;emM$vT8aE9X*bcSF~BG1jz!3gHev2@-KCZz+$%OtEsn$%kpEv$w2gJ1Fgn=gw)|myBHY(n6+-u?}If*OYdpb8$2!-!A)~eG%CkhO~d!gQCe9UUVja7=lChRKFp0(iaApoL&`Zu z_gx?5CTlXsEazzHem>+J(#x5;@2ZfDF>s`tb6+`I9fPk;_3p$&5*Ch^OtY(+^dv@QE0w-TkH|tnQq5ozQX}l zikF0~X#__W`$T_Bw_`Ow;#g6Nmj+-b8u)LEUqlmS*j+Kly~%YiUKS>yx%ckQ+i3Un z3C0B^2Z55O2&`2@>dmuf(Xr_hObbgKN=lw$upy1QH_zOn%hOjG%ttx86yt?pP>r^T zVuxtYbc5>)Y&UNxdn&_JH2vN`i;m99F!*bM>!zi$=L49b=E?hKanbD=2J8y}|II9A zQ3=?b#_h;0&uDmh4U2gUN6Fo>zhJx?_~FHmqdU{=E}NHffED7oVGbI>;l&2g-_q=8 z&1*PT6ykYcej50%i%p`5((UNX^Ehl3;<;c(XCK^p7;T&8L$&acWBqRB4VbhBdDxbE zbaPAaj%Aex*7r zL{l|-D=nJw^AX3wy_;(HD>Y%UnqQ)~GNZ*mAKhF~zd53;Ck(66*o!EB8hta}j^4bR z!&Wh#AC{znA5m--t(FF<-$1sW$AG~;h6}5lj=GiA zFm~;!>}N_b(z#5U3-18VSgnH$*iCJtoM#VWjB}YDEmUy!YF9#F?%H3#TShUM+#}tE z22Ki{%0gI(c3W<-PE2L)syj1VdL71kS(tm1o+5kVV|>Cx3Ug~*8WnkngVBqAjYe%keb?z+0^Qnz zWk2mx04!!Qgaf|)*mW+KRhPMPxl>yga`x|A!e%UreGuN@0Hd_I%|HZpWw z$u-txu3jF~9)XBC4~2sr40h*o7qnEeY{a$aazJWBD!G1|uF<(!T85vOxwI{FydDf0 z=ALM}#^tta8D=i?YiH$nsSMelJ!Pe*h_mTKi5y&H80mv z+Yr30uf3G(r8`ucd!p@Hm>Z&Pn73@EeFN;JH`J5sr{h|ho26}-zpSa9oGYe11kQC( z)OIb;t=DFTEIVt*f)5RbzU3O}x>n~RwV4Z+J+()`heksOxl_8X^|`0o%!SJ@jqm!M zYIDpjPfKD&6LCfWqrvsZHKC*jOPdJhNLaNo#+#yd1^v)y(D6}C zDAK8aJ>KUn(%3Tr`O!1sRskAzb^B?m^_D2y~rB^kUPuh)1!jA-58{BY{J00OfnUG4DWWm)IQ#oe#Zd0gO zw@?U?dmLfNE7v%YkXP<;bRn+*w>XZFSI>Q1;46?DZby;Qr(Nq``yCNC=3ddR22>>l zFNGGP!^8Af4aX~^rv9s7a?f1a z6S5H!0E1Gh+c3dgSMx#HJKA~0EA6{PedQ%0k6PtbCU^N1D;@0oaQX$%oFVcsw>d-f zVO8@}k%yVhPer@01Jy4RJKW-!LONaJBtkmfioM&-1Avw-*zT_oHQ!nPsUQ-8`GxP1=+jgA-KT$Pu zI9-a9o4RvKtnjW`V2ggEAm8d)Tr5-4*^V6-AIgc_XD&dCLJ0k=?X+<}-SaqXDdQ8G zGvjBDJeiaB6(KRNvVp;p{NgpdoQh7%W`Y@B;e8Qiizd@HW{Ymq3pm}J$-?FLl<;-- z2P+>hMlD@qeBd*0@b`W(`a1mE_bC}npe{u?u}l^Ty+fw3{p4vZs!JviplC#-+c2bZ zmN%B!V%SuX*&@*KwLa-~zO%;5W^4;uT0Lxb{)VTxaXVO1mrXQ1ZL!(;8m{BUOUjc3)+@IJ47LSd!!6u61h$1( z!$Z6{ee6Yx7nPJIxAEgrvH0ms@Go=+VZm8Vz7WLKVZj+qzTm|rVZkq(fN&l@0A7qO z3z(|9+-x8vi0i^$y#Jz-!DR75_rn*Jj3!$+51+kICgN4P(A|&aa;sr*%k=EVm>JE| zXyL{^Tg#w*orwjfGjS_)#*G`mdUVMo4CkT7i<_jpc-ZVW8lDow6=CtSn6O{ye)fW% zh&LVE;!eZ!?a$&Wui+UYD!K6M;wotX{JMxr4ZKBEB@dnoBTSDz(Jsy><#SWqo#wuXJo9JRjxDYL_x;ZtU(HDvozyZWqv_$P~M z_^NY)^GSW9HG74XOTr^nmvQSaA}Y1;QZbcqxPZ7yKK!F=f>>6(O9G=Re`h&R)M_{R zqzM|=$IbpUoM`F^d*vw^u07vN{ce>L*Kd6$)#32xA}U$%=b|d*@E!3Uye#@@(~5qk%O5SK ztjR@sj80Nd+kfqaUv*CiP<0u!CKv6I%F1z0xSy5tEJ6F^vIxxb#cz)$mYe-ac;#0C zc~$-n;o8>gc6^)q59cpam9^e=Tj4Y4 zap{*gY;T@yo2w$J%DJ&ivD`mcQmZR1TfQMM+1UJv3U!)-qWVg2^(4d|N6ZER=Yo2E zeplP9rvSy{E{gc-rj`hDVC)5m)m*CE zh3FypDdR%;0z?@5N6-487a@z^vh7o?lxw*MZmK=fx761MjpD0`Pul4ljU%BU3=kGX z)$aI*wW9<#yFMs8Z8F6EQz>en3N$Q=V7NWnmcWjHr*I=IKDK>im+BD0T!oSlmP}%Q z@&}u5L(QO+k8CeHCRVg?`vN?U<4+j{Mkw9yShBIG{YTmcr#$om9?P z?KV3hSRLZ_To7w&cz(w1u6Vec4XW>*8blxA1G#1Qp~rVW>sq zlkzc8bx$!3t(`NgG=Pgx@kf~sI4JSEbtOO%o3xxkciAvbtGz=>S3RZ|*PkVSGgly%LDihG#h}W1P4l2LI=-6fLSNOSiy49{4F7I0yED0{t zjwH)ikLXo%<*;gxe7^nAFdq7R*LezZYx*Vp;;zj&<=|Cw1mtEri@kSs3kcE3yt|XG zWT(3<>9WNFH1~Rm&9|dIP1SA|+Nv44D`rA83^S_kqvn&C>kw-RQP2X$qGR~SN#k1a zYu+>C+hMMgDQ-`6kwaV4qG8}AZKeEUglk(G4!)Xj-I~eFOx@s+^MFjHSBn+my2ONr zlGLDV=BU)2HW%_))D^fyZ8|vXmVwJaQM=1+jWtmKbN0^A=sZyZ=iR>`0k$KJ+ukg( z$daB0O2j3B(GQE!sGH!Ck0}*mT_A*3MOF8GwSsTU!4zYDBSSYQ%TRrD)$GO;V&;h4 z#3VoGro99+C_$}-_gO`UUeE zWW39^;}dUoZd^k` zLJZpwsLET#EcdbCoNlNwgXryNWc1Q|4JVWYy9`V$USHoDu{AN!56cwczZP`znS@@@ zl{71aV2_%5KTLOPbvY`KH1a+jZ>9z!ZrQFUm)*ci!hW#6S#CM6$p+SgOse9fGh7*x z`8M9{BX}vP`5;Cq0_ml8;hE%(v6XhUktDo4)(0vs5fV1i@T445c$s`Xm0LNp_g9Gq z*Ec5>%ubV?ci&1zIWhBl^j;ECc{$t=3XiW7E4$b%sP0clQ8P#yqFR=06 zm=Uvm#{ASH(vU?obJUT-`1YtyzxP%;RKtZ=&E;@A5QnDs2v#e215mcf5#-Mp2JtIE z0w@H>6BN8Ww48hBqd-n0@l5S^)c9G`74=c&i%)6@#QS_kth3fdIGb2Dv-t;ZMR?Kl zj5`r#-&UfMS@sjSngQt$e3W6GR{Lc`*nmyVR&~apR(1=gP*D8r=|4N(1qw0>w%G;oZQTk7c$* zgXY;Q8+*4_+Vib8hbTN$=_KLeNhJ!q^zNvlRB(u9iE028s*NuF3W4Y2BJwgl7q_j= zhX%mAnJQX15Y?w&gx=>sO|{z7R29fDbBn%70;Xz+TDLF26x^sf6Jq#iSOx0-7~#+A z#(n)UBGhs$U3;CL-M(stEYER9OK;?n-fFkw@Vx2u3@JYIpXW04tbUa++X&vJCjPZN3am%4p-b&)C%1W zbDH*WA>=Orel1;A^(W%N*ARyVC<>OLr^v0+@kdF)2*He9fpn9V#dlL9)b*BW2>3oy z&qdD1y(h5Nz1SLMo$0Rq5~N>NW#enejIc(`m*!|3|1WY?MfJ&LJK#LjXxaCq$Kw~i<{Bx4rk#k`9> zn)2#gFE`pkY`jN;6OVLskf#$&0cLStPKZQp=552Uw!PX~MLb}$*9&C)0Q ziNMhX9GrT)lX2-8ex3v0p{ZlGwdzxU%-Y#o4Wf)aOs0jqbvdh&kyT?Puk=#oa@Qh(!R~t`P{OlBgYG)uKAr7dS*UT~ zk+u`U(E1v=^BYvH?*W@PjOuFwz1J@sr*;TA)JIa)&Q|Xs_Qur>F#sY9fZHKW>LVk3)TVl8 zc|7L)YAQ)E1q$Iq-;V^~(=UoFtAOiiw)Bv9 z!uT|DpSc!!Iz4b)bi{t@)43jtYTt53w{Ov-v%a-2u-D(Kg&5BT49f4=ZTO@ir;jU7 z5A?Qq*1UZ>-G_`1){f}WpTMq55q<@QIUbIC+}`|{DPa0!z-X7%yX$x)c|Wp#!SAi~ z#^jPas>FUaVAro2u&tj2kR^_DD(mGdJRyU$gH=x(^}G zjvlr??udaL`>&4!}_IpoQ7#0f68ObUq651QB|9aitRy;qPMvy zCe~?>czhendcSSaY)78Z)~6JpyXvZaN2X9zdk)D?Nc(gEm_vSZNZxkb7nfA|hJTXE zHRWntw_5x9Fjc5B`B3SkuXjB?(w#^oGCnNR1Tsx7of~@nAt8B-az8NEvkvoiQWM%=AsYZMnkWVPH z#YbzO>fvSWA>TgNuOid{3-lvz)cJkkuTHFQO83(5t}o?YTw?J!s9*IvaIC1`EuY|l z4(tj^wLrgBrMdTjPjh{D>m69X3Zij*$Bt!K0k?I$WH+Q^f#v#PG$u^7m!^KLF3q!t z?R0(b-6`1jsWh7HG#B&kG`xOKx!SehAi;0-WFpFd0^?j2R@@5)?^mpAoc2pCkD$^| zKDw7M16^ff%Uk9`1x4jMRmfe(69vN)uGqw*Cp&gL2Ya8T%e>=i_s%W@3f~p1ZPEp1 zYsY$hgfWuHvePH^(~*7Z9_}sD#p1ICQX9&C^Gx_W}KNFyV=UEtfU5r|G`nJu}}o+k} zxMO8Ow##IPRDKb*LYJb~Mf`V$IS!jrN^OK6_Ux&jIojVtb>~lIOJB_W+7{ zmX6snKMh*163aeVuR?AP>O;uy$1bO+o)jA$L>`s<=@0;N(rMP7le@>%1)`xCO8s2S z@_RvDM=0ZllE^h}o{ik9poevd9O%hfOk<>7`DWGH%H)7Bg8Ntvh431ymabx`iyVI- z?IymSwebjZ^T=^;vCVh8d@^wXTo-kD^y8}^#yydjeIQ~_yx+H|5#fS5w<5V(mS=lP~4H8&eSukVXIG|En1 zmpy55M}_!|oSZGRrSFJeyE{tBccgB`?!IC7RZM*#}CVGbV%rLJ4zZL-V z-fxj56kDclitFA7NxnSBUen@-WN7*ZeD6x&)h7hv)oC@VrG881#t99&2beOdYrDKA zW-^-9bMKVHl{wKdBX&=!&mD#1+b;EXX=f#N649158Owv{Qdvbp3^ToZZf^^_nH`9F zpQ?F^Ymbl|1g;0pa@BDk=)2*RE0tSAbocnEppDXp{LA%oLi_E$imW{$KJNQDzI1?h zh&!sx4ZdIG%j393?^S-hJ|?A@7>cfwp04w=d-N>{{@$@t?Lpmw6!*agOzFJ-#}E6? zom~B%uY|e}*T42ycz=0t61!eA|6s3XewW~ICE}#3J~Pqx3-E1Zf_3kG?W`es0dO() z>j2i521^F|j}H~=jTefyEgiF~_lp5*LRzxebzT3eUraf zU|5jTE4zNzk-M%R>ZhlOs|;9Mx$K6f2s}rmTDwXi5Vdc~$L^=JBj#Nzhi^}(fZ5Lx zemtA06Mo7(3u;G}s|&G}&jI%2`Q)?S-dOjnx9BXK3yuUa3@ESz5H%O_(C#tBNe;flzB z<0y>%X}6z%o8{U;ski&FB(mqEb!yBAQ+-xCI;Ql*i_kGXy>;))Sw%AT{V@$of(Twm z0m`KGD7EVP$l9d!x!4N8$B<%&tOV&g2^O$~Fb?H?BmTJOQc|6Yjz4Xa+NNdoSnRDp zRwu950{v(X!1T20m40PX>iDh|l+736UIIYfp;XJyNA?@K|Uinnp&2#RaJ#utxu zR|pr^T9!hdVXc)TYpw=X{B=u%$2~}R#2q*`-i=Sj-9{C{LholiI zh^Yf^^d~OEWK@WV|K`+c@2Vtn{<9aRxd3sp0pI(4QjFPO^d8?n5~)3*IDJz{Cf0lQ zlP+tecnJ*Y3RK%aIL_3YR`xUO9nJ*4j-624p5!^8ISuQrtZz0GBiBMaDqY;!W>%H< z1?o>eVBP{{PAajR6s6f~)t&|3?MCRUlUW~8&n z_Xp`cLrm`ac=8V=1?-Mot(&Blmk{qCIpc3%DNrA5)^|LSpH8ZMy`)uH4HNI>uOu;! zvezP-DNuVG&X{89MZYn}O7|qS18;Az)rjf=pBu+|)XA%L<|Vc!1g6hJ z^Wdc)W_vC2@Gs0zZ6>BNnEa$asi&^9KLQOtBGenv6x2pS^$N z{5uO{^t|6DYSZ-gIJ}SIDM(D5+;gygKpcJhbEJwJ!T~RAOu|jRr1SmLt`Ai$pCg`| z+dpvkBwFTFqbwy%!yEKxd&Ar&+e)w%_vOVb$Cp8kc8$}*S*qk?0{Z8;y|qgiAtYI0 z-xs0EdZZ-!XiXCR(YyY-u7X4oAjt*=R37#|T(7>Z=U|kWhx#Pe_i!P)mW8_-%3863%fE7*&b2*7J}@jMRk7%OSL_|}^uC+7A_QN*>|KK_fGd5t@%<=s z)fq!b|GIMJ2}#@(71lM2a(#nBbIbZ04RYe|T~~1f??z|nYth|o&(os6Z83L|lCu3i zKT#;uXY2&8n$UuMT1+dDP` z`nv4qD*9-|k?65M6?4~gXzHdMM96?Op0HUHJAo0x_V&U?t%j_>d?X=4l8rW!>q$Hz z!rq=T1kOvnx7u%3bm`^7wQT6abdkIUKX2lMnZirH3@zWTtwKZPG#`_6v4%AQoH00i zoSgC+A~@BC8xO_Jb_lU8b5IO624b2w7fNFS&%WNlD?G>_%!X}Qeh2Tf5_rsqbXdJ@ z?6J(coZ_g~g)c>BA_nyW$&jZj@tPNg!yc0?kKUa&pdm6B(LoI0t>8NL@W(<(QB3;8 zD+)~=*JooJ@UN22$zgwE8Re)#06ng~nqR424Z|47kz_Y1g*{PH5o=@wWTX)<{0waA zV{=N_^b0W#oQ5vuEO)=7Ts5x2?ULme9mOszMy+RIZUgz)yX0J=TBBY5Vjn`QDX#F2*sCZ|2U!{#Fz5TQr)S-%&^Gdm1 zH96rg7@|SR(pd)MA~rW2avN3zxXcCb5Yw1O4Bio49dvrwXwdeOR$P6-^+N7_uTqU3 z+Tzcs@$1-v0qHIokT+!A!JDs>jOp4oJ2Va=wuzg`FW9{2tH9;&OyUl{f=_iVklPab z>gdf2t@q8I*x~YoKM2z1E?um8&^T;>oRbkGAYl(;W&S3=a)*B_NJOB!gALd=qFM46 z-+IIz@UDKM=6Gwt;G!Fev2~3R2d-(PLyVHBfWqT)hBB|RxK@Q)wZP(d8*NARBBnsD zK*u!Ao;A`YqZvl#WDZh%(*%&d|AwE9Jy}CcTDlH*kclF0@n>gkZYHUePhc*$Y^}~g zoIqQ+8YTB@dHBjIh2=GZ1hP zjEKpIR(!z@5F~-n`jII%Z^$MpAx$e}1A1`AHzP=}n1K##Yo-%ZNuTWoD?+Jj7Y3PL zH%Y)L=yG z)WD9kDAukSb+F`ABXVtQ-|P?3d^y07c(o#cNSY?&AoCaCu; z6_KwXu*bC;x6+?5$MB*wBjjE-Tk>g@uH^JoE}IDZydg@uxyWetxy_1=Un9>nuzJQR zJYV!y2*#P$%Vt#V*5n4(BjL~VDZCOLcTH+)Y7HtgR%5zJx{jUDK(kuyr7iz@*2SgM zy{(!2nh<37zDB0eY1e-3Yh(kf8&5}bG?-(EnPh%fQR+DLS}I&3^rwPzv|Gm#J*Tk! zaIYR=K9>0q`qQaI&T<`PvgG`u4+YsZEUFWkF$FDj5QSQMpO5ZaU4#As5}*AOrg^eO zkNfk-Dnr=?kCY>kn#t0aeVgg+0_S+XWuL}vUZ_|5X4s~*4`2arHrMY=wF6BaF_NtdgX6KyhA9}8s9}OyPBCS(E|yaO7WLY8JZ`7(9MV=#i@Cu37x+(i@GZE4%jE$ zlE$Kx)z5jyT5yoK;T=!i{ydKUvD1Fy*IT$sMyF%k6n5H_q>Y`Y$I}*e{NHxx#A=G0 z5nWC@nkzjNz-1oG$&XJ$qBhZ9n9-D_$tg-TtT)X6kE(Z!&g6OChqD`OY}>YN+xEuX z*tvs^ZQHiB!5!PSZR5%3`<(MX=l5c&d#a~_g;om5|x5ThbxkR6&Dk6^r)SLQLx^BXfLjN|qcd3-{C6AGix1 z{_WPT?l^V_L!4DYjqDsT{x!UwprL~U*xjm1dRB1R6B!)rF#&{kE`BGphSu0t73;H$ z6G8agl-&7h6ph}PhsMY(mmHvTyfqTp-&fKZ2)QtK&X6MTK+wErEbf|d@!!;B5V6m4 z)s^SJKRw!-#JPOra9&%xOxuJ3uM(7CqaM(PUfu}_$(eIoWT*Yc_7bSQXYMF>+YnYo z4TUy{o4d|em;>(AyCkAp`S>0a{e5jpd98D|u#OcVUW;%hzGkA1oo@rIPMspekDW$M zkeV`P{ByOqsV&l9Ef}?Q?K-f~+RaWNr)j@){O^iQ+lhl$YEj!7yHI7&Zkk+}Icvtt z_(aZn-`82z>t8ozD5+T|pXxQJZjnb!v0s>}R_!Y<)d9@P?Bo_<{5eujs0$fSU*~BT z3P#G0;@KO9y7xC9Pz*S3rI)})+HUV1NEFU1n}!5V#E0;iUh&ypvstDif)A!Pj-M4a zj;F7pus#=Sy*E==6ku}(TSa=9=f7-nbFlOyU%8ATd0s^Nj|?KyK6;}L^{f>ExdaFx zIkcbm0RUg#e?D!BDym3$+&n(qDt~%}mcvMY{^G9%OjUP}kosEX4WRm`9cHiSeMpL3 zyrSZDm{+T!Ckj61CgO{_m>>{`pUxH!vysK{G0Lg82iwqyiJh}ZxsvmBg;FNWvnbVY z80UDOYSp%o7@G&cBIY|O{>+~Y&B9;~9mc3*Q3PoJr35t%MKsNZMafT47e{;{aXlO< z<&)2eVHRg8z)iwcA~eovV3yxIZcGe7G%b>iB|AtsuOB+uNZ$B+Jf0+DA(m+ENJSjF z+(Ae7Quv<4H!rb5mV%Ton^Lj@{mPp>W{G=Hs%xZ!tSuFKtDh|&>ZnR?%kWoyX1uh1 z9}8e2wLmgODpL;Sk=#X`Rp-c7HfKvXMK1ajteY^;BmO|__1(XVHw5($i-nnJXsd);q1d>JEjT{0BjWkn)X-ZD)YG6(zpdi_FE_!Qpv{a_ee zee`pOl&8tde$Afjq?_ZTst_k5fIKt?A2jHyq^D=IZT^`(e$!5P?YKZR)=|tuceK7_GfH=IsbQ-9>3h; zn)BO>BbUu+$msz4`Er*adDB z%YnO0|K?VMNuF&NRk!w~)gHw2ieq8aTHn&Jj7}zAkA524UVxWJG%Z8Z?rHw)7LWCb zPlJnDE9;hwwx!(zCli*GXbgwx#m=#wC)@FF~|nspb1Y zc1ZaItehUdKUg^&k9;)pU(gyZGhlEoNI&AYDJ8ohWS>v*T9<*l7m4!S6pH$BSu#jI zSz4F&t2U2(qUsCue70r{QY>OnuX^NVVKLL+JJ=PfV0Zt`5Mr1=ns$6#gzOP-U2 zm8Hj~idIR9diRuDdJh(|NzGZwHf}i`ZZ`0g(!9^Sj6XfSWnaByIr$!C59uZ+9T~Jb zUf!a?0Kz>fD=oEkyee7Pt;LVdvFa`*HJ;X&Gus;EPpfJw9C6_8CHEir`7S~tw;$V_%LPKlYy7f{n)>|z%gsuDAtv##xN}dx^ z9*TTO-VER>z0V%p@N=BChGtD=&ir6V(Emx)kdsEx##VFY(r zU%ayeH$WO!^j;wsZUltop>V$E8&C)9upk4D=o zMaBcYfCj-dT*cDmdb790RP;mr(t_SxsZ%~7(_ct#)9)f1lH2FI;6idf1QV)gsp|RZ zAy;t6PhAQlB*RZl8JvqofHvPXnm0u<%>OkGykv2(h@xOUyqZSKo=&Y0(MkWCDzcXT z*Dj>`@$cX`-m7r}qIFT>W}$P7vH_rhxgtq=V)R_SoQ;N}W!A94QJN--^&1;}0-@lL z<3O`9Tj(s*)+H4tw6av_TvLA{pNEOTpp9A#n8)h~M8CgWCpyF^?id^mg`exH?^Im) zGW6y`Fa9+&8rpJFl5dmgvtyirpNv}2I42~e)BI$!86H?KDB3)?x7QRTYz-(d|BJpJ zop4%hjKjx4&bC+=rH`3_O|v2{K=55Gh}T3(HRzrQ3>B_a-*+&bm}tCy7%5jqZSu*U z+7+=%j+K$JY7Q>$h8+Z(dCOZ z$=gP=Z}FCxub5`I*{#+&0BoCRfj4#hlMWMgQz=Dp-d+uO`FOsuD(;nwo>JAB{n zhYzE424N`VVlo#Re1?#~_{T-#r?B3A`IrX|Ibk@6W8{4qtwl2}cxSOK+Wz&57m{f`Z=dEdT z0u^bFl>JOGhLo#&=R0r2En*=&Pva{bfa}aInJdjU`-x9DZL6g?IMcBHQk;8*e*&Oi zKfOVp$*<|Q={NH20cV+7_ch!U5tqr2_?nmlr%^%=0QMA8C3 zFMr{K;EPW5jBMh^f4pn1<_vH8hQEgR2Znlx4&uf)#Yn%UHga}%q4N=0=Om7*%<|KD zxkFUtfMP1Yi%+1Lhk<`^T|{@wi9P z6Xluh8fUxZ9{{um^z+xV!8YBt?e^0@o__>>0za>QUj1+|Q~W9UlWITO=^Z?RS$3Rc zYykGPaDs^`hIc-ol193T)K+UzEmz%CuSeB-cCiRZ)H9kdq{Ty;WMnB}GNx48VY>04 zyg_mSSAQv9>IE>}kW=o`TGc7+QhR(Q)AGtt?WVoBsEw32zAuU7@3-JCz=||uex)st z7uSn4j%>%8FlNn|86npPV`oY4KX!^dWadtqv5Y)q;?A1Eiacb|{%1i{AQp+v!aY~m zz{zrABTt@1GTzpgd1S+WG9?Op$#?f>#0aNNPHj{j1_0<2P5WpuZlM$Ck#B**FEB>x z(03k3)Z!_O?TIr6%-X=?8q6xQ#$Y9cf-%f0)5ho-Pw^C%_JA1(aU3ik|Cyvnb|ztH z9o%VsaUHC@65GgTmepPhih>F(y?zUf0&A=fwgLv`_UM@{##bG2K!~m1H|;f}9l>cg`PpUcsjp=ZJ)Q62 zjcl~h0G6EDeD5(zWHI9_X~AzSydlay3+jSBEPzk=468T=<11?c3YHk%dQH!)oUyLC zNva8?eYy#>eVPeWOifH&4pK6QUxD57^AEuY3v-G)F7ZStbM{QK%#-JQ#!t$>AT0$K zuwwX;%qLLH_ClFdtEv04yLsXoJK_ZL{Y~ucRw#N+Fa=I)j-gL4u-Ugbodn(cEt!Z{ zbAW#5wuEU9-I&hZnC#_|cX?%ui4S>c_l>JU)3nUW=s#(3K&N)ukzFiAfvJdCaph)} zMGtTXyOmuvhGx>=Z$rj(6wxk5-toN=!BZp0ykX~#!_|-mPg?R)NBn6-ds5`gxM%FZ zb-O)T=M1ww<$rWZMl)r^*1F0*#N#9V@qj>{a>58Q%Q58vG_^ROujThYYl?PR@ci+j$DW>n`onQzJVYySOyV%=YH=kxc^(O-1KvBSgAV9qcRCZ zJZbG69RW>EbT=btjQ3(VPs;;HvdwEpwqSds zqG2)N-1|YVPw+-y>7J5$^PWWTuCapVaDe7y3KfKcUhHAzEJykXy8#?$4~yvj8P~iF z==X;`-XQ-3K9)(2T&V_0v>7Bjn3)8&(;Ct9M;pNFqouvMZ^T6H?^#06iBs$xIRN%u zdRkllz`h$dCN^4Hvdo%&++sd^+Bz_I9@_vLtFVDE;j!)@+}Ri0__orB%cf%&Du ziQm{f0ny!G?#jVF9ekJO{6h*Y4|BEtyHC z>-9f2F%WgA2oT?L2~EeSMwLh4=w)Kqps%HK>#|-q{-wiKcKCx<6C~o#!Ch|%(W>~B z=+w&o$hL=?Ns{k7bl|xSHdqgk(&6{eIX{b>m}^FCP(E(}f=;gSnsc<%NyeO;xJapM z*yuOoaH)y0IRZ{w#N^pplr|nfXV)fUCw^TFqVb)pN45H@dKTK=efmvFks#BX-y!i{ zn83KAEB48bve*2BTkQ-#C^N)^zCIBQ^~@BF9SV%g+2O!SfEgW;%77o8K-*6v6qjiC z=^ZTPS~@BkiiW-wX7udjB^B=5DFyRbNh((+Epk)lUKlF!4(<>lM`JtQ zMX~D((N+e^1e+92GtCgztS);B>5F`R7z-Hn1raLbYyPr|m5v=1$`*7G9F850eGT*m zu?-2}#p&}Em4jeoyD8jGFb4djIxcVxP3HRMcIz7^>dDY72l52shqVlcED+nbqVrmF zFdu>M^8w=H;)I91gkaPMqG-K-pv9p6_tq8kY>{FplN3QSG{Ttkddj6Z6@j;#rg%St zBjn_6>SReYa7RxU?LcV8q*m zC{a*8$yuFa1z8jAj_iJ7{lvvq81zH=nDbYnztTKc(0P2BMZce{a*X!_vNdo6hFwN%`*QeW8 ze?B^LPT+dZ47%g|i|95!W{tQ4!=Ux@(j(jccYC+^TCV+qYgmu&NDTf`Q)czU*{a>f z*^ZgsTX;3zbki^Ai`;6yqBDlmRqOUgKyh_XRlu(77*p3Eb`i(a@&&V8hgcm?nk&W} zJmbZW;F6M)V!E#tX7OBRBht~NhZHeFI*6v_3gW5d|)?Oq)80rW2&(N<)aAjQt3R_c#86o1n8T)ybtB|K*T6X} z2VgZ_Gq|1Arg!BZlFy&xYdEbg4G^OasBAHulD)MXUr|108!y3-Xvx<#8cP8;mFY&M z&opdA>x6*2;bf>|)Q=Ji26~nNdm39oODf=Ju9dZP1tojoa;Y&Euspo0!V~K;tc3Nl z4jFzGSLZY9B$4Umx#kqo*OzJ>1%~hOObp*ktu6)at;kq`Dp~)$t|aNP(6~qG91Wz;EGprnRqa6T<+!+S{jjJqP zjyc*%o3a+|So%jgi~G{zgFjSaihNn%Aoi4vsF6z7SF$WLt6dbXpc8w)=ymCHdxBBz zWr4rMs-kN~uHVkOVXt$0R4~(f;7Z}ErwPXJqfVh9YN^*GM)tu|Yn#ed_S2wW)K)&j z^GqznlK{_pZ~Y_z^kf%celCLD1t6>m@=c_>FTfWO7-ia@x>0K3TxE)O#m@)E#ms1m z`vjzQ+G7nhz0^AJcTR*%ndP%f@Jx!*uqb5|5F8yJvCO=7*1*{zcRsJ&?tc>eUkBvO zgdPqn8VHE+pC2GFAW$HRw)Q4s@*?zZCT41?0B{h{|J;%ndTa4#c8s$^;}pZ8YI7}zrSxjLH(Bbj{3NL{b>xk7%QPw!KJua_&pMmRw8%<8Q$XLZy5 z-Fz?7_PoGO??75-nZl>Jxu}Pr`45`U(yF*v?2_V`s9{@p zkMQq^%qO7~nI-qEH{2VRhoa9TX~mqJqNI;&eF!a3ph=h&fS>*=kec_UKWVGXadvT2 zKz3}jg&H&OnfBWDV_N)2bjuPfIVe?WJH(RYbzEP_y+f#2q-1HIlXZab<*1l1w#P3* z@`aKeX?`&RP`u;Ah9N8#2w-by0dcEikY9o|gyvI2i-q@@f|&+9h)k)N5C%vbbhZvk zb?YwDa>C9oKnaQEXx;NAAKp?_?%ZCo=5QZ-rz{tM{g*a#P{H+aeSduwNiZ@HkNUia zvrQbF+B6sQEq@|+=Bnzm0G~_E`2ruyiP_Ep*a9<{)roQ7njulAYui5)yynZi%LBrm zWu|-oq6FLbYqCLM3#W-y)S~3|PeoKo$WjB#sH3$JK!6ZKm?%<70LbDTF?B(Iv{(R$ zc5|c)u8fLsX@H>37mB!;?n&ldyZd4LL}M!uVw$YOzgQwhRPCEeCD7$6B#^B~!!ce_ ze^eRh>XO2dhp}xxSw-Tvs0pg1Vx+2*twT`lo>}%ht_wXC^kT{R@mezQp{)ohwDY7< zhYOMgkQe#2Jbkl&9)4eW4bu6yop4q=q|LEBU&lk%V0A?^9#u#{Fe%R_*78{t&`+3t ze|IsEtp2+OeR|krdSFL~*0AFH1l&5X%D~@({$Nh`xP+3SmRh05WMEJ3kZ6Pbupw9v zMuy0uS3Tb0CiMz2gHT~WmKvVFtgj@W1Ibzvhs8{TRS`7b5XqB_~cPJ8pTH!=}#c{mqlR z!rSb&YA}88-8ttlqt^({n+L26c;wvD;Y*U-Z~>kfGKinXEqt2{#DF(i8P>v;VrY0G zU@{JrHCpqYu64Wq~bR*Wu*kCYt zbCjPJWRTQ9995NEQ9d-uq8@}30K}%1E+RsuQ1@XxuTVdw{kT7mDIuw$Zl4QE2rHkL z+xijI#=jdkPRl{s!%8zH&+ANcgMMrPP}3 zzk8rZwDRXHjmWC$SkY7ml(U>YjhOxZ4)YUi@V~JB5aUYx+`gqs`~971M=LioM@>Pu zb_Z=!!ZeRK-a*13(D>5{ZceBOXT0iyeB}KJ2 zD=P-8X=li&8*<>jBjESPyOHHH3WmiAYO1y0Rg*->g z3fJ(3g<7+2>X*1uh&tLTiErG@?s+3?y2AT&*M6|Cv2?a}OYo?{&M$H=c+56^kd3dGWkTyH)Ya|38_mgJ$s!wZkli`g2bO- zQ=SA~0Q^Nj{=;teaNI@EM8a5JMcJNhaf6YCWI>LORNe$@tugxpBmM^+@y*{YuOSb1 zXsz4PEWjR?0r|)XI&#@BV-N4mpJ@F$0`KZ?z113f`7bu~KAVrWB$V#_gzy;{uT!2? zbT6r2z%wk8QHEn=c@G%(_w>QNu7MPM^I+m7DMFu`p_#X|R@}3PtsMu=+{ndb=UW}h z#z)S>Lpki2Cu9T9^&@QhMc7Z-SN)j49ZSUX8$j!mhx1#4vULmvmdFIz<+VHai5Fis zd@p|tMVvuuXO$;|(&!$o-u#|EKq}@J=jD*H6xpbUZuCW-SzxoY;x{41wEEbW=`uO#T;YQ*55`IKt-Q)u=+upoLdQ`dy4kqME3 z0%1_1LXK%2#2`+naf=VM`zckMph5uBpXgoHny9okaeT;~-b40md&d5hlJs|`V9(|= zF7qC9O5J~-QZOIg`Qy--ZvkXZAx9r9@vOIEd;q_@u*!c&Q|1pHDbKTK)9gvkN;zI1 zZtlE6;O~$r_gkqOQfvu4aqazgmPo@M0J9K1q*zE$R(rU`Cop3|xH}BTgM5JDKSJDJ z1$6r)d5Ga)!%5M9g{4UUp)1Gvb%l^y!`^?dB_P#Dl2C8@s_T=77k}wzDA#P70+Ju@ zYh;NY=T6AhC}@Qy>Xp7G3t^=_8oa#2<1dPJ+yaz*L$og6Bu_iq&y|1BK$9aa zeo_VZ{-`5kD?wm|Bogo)ZWHh?B3=_IV@<^ZXqfdg?GawbP_1d}<9dM!$qV`kwY(0U zpoH_D@HJ@gZmcy;Ve>Ec2LDFX!le)sRqelk$*Z_cD~$kSWy2F!MMBy3ECFmqt?|)2 zj|kWLyOHNbO-vmFs5!8@=vLv1>4&xMt>sh_=%3G6(lL>?5Myu#l0d*aJAPX0)*#6@ zi}SGaz!!UWH*pcJV9VWhc{&x$04+y)ZD%*k%=y7mup)J_%QSg5h*-SX}uVgAaw zu~Nts4_u+;!!*UZrYB-bMmlON^-f{3iAas-zVm;Zb)E0-K~4k`w+ECmk)RC@%&=Eo z`#sv5K~()IppZeWP9T6h&KP(@wf-{DRE2&90C!rQ`z3X3%0+Kcq?}5GrRmJP=jsYe zj9~Sx*W!M^4HNjrE~>!57OzHvC{ceX8~n+$0SUAikL$hhRX1sM_fN67sAeJldJM{e zZx1ru%doySIpWGPCa}U7%;5ozGVB~XM!Pk^fpf6z{PNI>f&wAv6w=t_)Y^iSTV zuW~s|8C=EH_=4smn@=9iN}T7KVlDI5&c+jK;4KYe%r=u588zcq2K$)LTW3Lo@gRkz zJrQ4=+(Pr^TB>bs)zqi%CEb1(_3S{Ilnw&8z=yCME{JUu$OJ&T1kg|@(MJzqI5m>0fFzVabHpJ6k*=$i#^3*4?9;} zn`)7=`nhWOdo-OTVdrR$RX6X(O_CU6t8vr>QWo01_vEL7?}r9!_vDZIkT&)lLnzQq zniv%?nNOeZ7!&Wh7#T)O6Pia`AJ$r6Py-jOa5DvKmsL0fQm+U?DM5uQ687f(2eOnb?r$%rENXc1=6R`0_i#E0=$P0O4P(veV4CE6z(lFy+V3c#_kKnxzU(dbz{n75cMaAv zoi-tenuX2X*5}7YNce_%KG8pTOlFH{pDyc+j$t^+jO=jIGz=$4R2TEuO))e%=%R;E zETIEXx-FvN9HY>|w!uioo5=|6E~z~E!r+)wNp9m(*I*3LeNZ8ox#E#R>}6s&+ew`2+4?`|@paB3CK>tV z>afI1S(y7Z)qn^2RS3XBew#UO5rTF@T57-mR%kz}!Wtm7z6Ra3$-h%XC!1fSI#Ni* z8Jml>A=?Ulf);BVDSO&tpgcqF{$`ZsDMcY}AgwV-7Br%{_QZG^eH76Pxsu}rN&KTS zF9SAqW=WN)rc|*vjg6izQj276D1}Yj)<%nDegbW*<&CltD?73~L<&@5vDEqIcNBnO zAc`1BUBPklu+SS1oiclbtxj827=a=aabi3$>$>^^VsHFe-OE#2YK2A; z$4F1M4oRpo6bmwls8Cp6&M5q#OND0&usZBAb5H#9+lt6GGgX`BA2@Fmy=v~<1Skg% zl2&zOoSm+mudx*KJT_-j6r(rWnG}G0gtb=4SNgztO|xG^Y>M4Of~3dEb1z-`vs<(> zwu6DG;_yP|UY*6;~R5fy*I;9lY5N9G-qI**VhTRt!n^9xb$xeWcDEm#8r1Wm>ixBl%GYF4rw=10V=KufrB4!fX=a&3l%+;dLay=5vs3SvP`fql70K#_K17 zbwt7yCPC{VqV>#gIm5xn7r7kPlb*tBl6i4N>JUW^UqtO#HrP?bDAVZW{63l%v#e=z zj(k*y6fGU&TE!;ux1U4Kb5|!r%6gBKC1uw7y;Ev(0g9C7^moA{8(=?zA2p`M3LlJ3 zhHE(gFSKR;_i2<nxsk4q7&J+aV!S{{40XPMpJe8b zAF|<^h=S&zvXYDlU+B%<%t1D#p>^PU*cI{neb6zSDC(i8H-M4VN%%*JwcM0zX0DOq#_EI1jGEW@iDE+Z(R{^-vnPSp~^ zQ<2cZ-vWQ75I}{GZTx;A^9e%g7^Dfa>q3bHF=W#Ig7{9^E&$LLRp^XaoIyUAYu%x~ z9b#XfQ!EExx|ZR~I@$CxGdoiaCq;kN=N^=N3}Z|9gs6wm3iXm4h}%2O&~d3b;|9XI zA>utcr`%DDW7)DuZdv2Vu&F2}rOYO$oK70xWEd6+V%9tr^;9*e`>uG|ChxYGzqz{^{e&j6EKjHkAFAzK*t^h9S1_{9hZJqk}6lK5gg| zUqAluAt(^E>$w>U1Y}+g1Ozr^n|#pu&0w4*%*Vrj#rAa3)RaEC6z6<6alPLt@KR)qJjGwx}Pv z6fVwz~kJ4lP`KBbto1=}2f0+t0e&%%NU?NFZ&Ma2P^=ey7>hsV2Wi0@4KEM72ir+gi z!s}Piwr!5CyO$<40eArvT|zPqk3IC`n4=K|!Tf?ECgW@?+$Gf$pzO=C>~@Q+PG`F^ z4?L}>Eezh{tQH2(an~bH>{L8t<=B`!fR|bVpTjB^C=(!a%7A{GWP{vfBWJrei1QsC zo4gt&J}}|yrsQ40vI#f}?TOx9J9p1P>j~Ul%ewKEc;^hqICt+s`$7e}aqfXeKQo~+ ztls>f;g8tmDf;aHP~LnuVf!qg?3S_Oz^?dIQ1otL**p;l*!4PhUjyI(pSbt3KKm)V zr=nXv2uSAbNpu`!a8XIiL?VEy0CrUUyL-atl-~}Ln6U%yx_~gAYlJ5x{J%GdkyBE} ztd)bpF^P@%EH^b*;h=pUQqzg0=?~^UsV0?(3AiWWYonXCVh@C%sD-b&&gs=ko z5pn&uDZz#aIpKTH5IcYLW+1*p1fU>xg7?}JxpyEvLMO!YAgimQyf26QErFzi`_6@L zvXeo@S&*J&Xn<9}A$B74UJ*Wnq@Z4aQUKQ2!4eSX37-)I zxWQBqWknc4d)XkXMgD*W#1OVZ_0mD|2XC{37H1RRGRauZORk^S>h+Hj-PX zEfb3=`<^q^JH}S(Kqs}IiOE>t;IXh&o>s(LMozL1?18`3uFWp9ZrD@ zObSc*p!aoqu)yF=x@LkaF%$nmw^~&~7o~(@6H-L~nFE;kiQTxO1-VTdDlgQT>b$t^ zT8BnQPE)?HsFu^pma`?RThf3>Q7!Y`bGQ8rc?q1Xy2LluWvh})vZVnJVU`37$vt+n zUC1+6==JoMR$WSSX(2Lhp&y$l3L#+AfD-7fOPoZa zi3$@YFFK{v@jb~z)|yQiX^fXGd@zxXsF)E2Xv?vd2SceIsHj#<5z)@v4dfTV&k%ic z6ecBBlP#$w&M0cL;kPo~-?L6Qg_j+H8&6roPko|HwOVPKDbwOHAoJ)ua=P;1u1t=| z4EXlL_x#w$P@p?0&lg{suSJ*0rln&*(OERuWlOf=4m+hv&esSP`?BVaqm&t|7)ag& zz>nPxGo#B@uF@16+ssKOo!obd+feh!lHJ{gk-7jo`?P9O9Gys4=#%^9;#5&&6`mmL zO!mUNal?y5sOa!Bq?ufB$y_^6|D0F2+%u`+lBjp?DxR~UsaW-C`=w$>1N@D+3n=2_ zBps8=c3g}_V)_+X;g&=yY*K(Y1C9oO8{=*%+Ls6VL;Fy?o>d^PQ020FS>^=L`wRwl z>d^QzdHI?~cf2L+Ky;>Pz&Q-CT-qR*>-2((w}-KpJi0%nG~=A)A8uCR9;W75`3tV< ztiAj!irc_3KXNMstEZ+Zu{bmdf|krdPa{4}wEd(=uPP;GwPMA^p(-V3^*i_KJdNN5 zn&By%+y&djymj!Zb#U1dZPOC1N)4A)4Og-L-Ms#tY}cit*M`w48tEf;V<)%5qFOV| zr=BNMGtDQTaouTgRS1^->Qh)!d4dc=4zTzGuL)yK<=JmF;-a`q@B-*M2e0H+x6z1E z*0pT63jN(E$0Pfnn!QIKAaw9uiB|ltJtefO+-q;7eblwrpcvzK$F4*eu$_*{jT9xK zj#Zn8y*wGoN>>KdfW;zvmby;ar9H&>#)Nu;TaC%M=CrcDGnIl?o_6s0CF;htT%5kZIK#aH-ftR!s6khK~iHWJjO44Bual~z4ZD*jf_{;q-j zeoBY%yh;3g;m_JPl|z<(q?lzOko_2_i5jSRj#Q`lf%-e6R?do{`DK;((fHjEiiVF| zojU^OyOZ1nusPjb_&+(U>{VAqp8Y@RTH3X&j?yu&Z&MM5`@fG@IA6Ht4XD!e*N+5T z96m?tM_yb$XKGgZhS$k0!~Ab-l1JmW0T`SvQg-9_0T`MtV)gQD-eiT&T8+5nGudo+ zqdK4Om49mWV|ZaeJkESPpxQ%tpi2TJPGT!$-rpJex<8rY3(SOFG8FrwxGu^uy&V#G z+K>>zB=2Msy_1E{SXwyy0#THq2L(g@e}M6pg(1?VA0QwUups}(hwX0iTTS(UF6@+z zJJ1KfN;6y*97pUsRB;RrBAc$A-9u};xUK=Rc=dPQs$V0Nk=xKuZha>g(J1gkC>*yN z%OSm0ZhW{;o;*Z&*hH3MxL9Jixc>;Ri_~Z9lx9=@!cRzoSG*eZ42G;V)|_o>a1}UO zZB;#-KRtnY&m&@<{meNBf9|Z?siwa^(f9KKc+VoXmcT^Xk{EZvHgv1&+%iwYShe}t zFZ^rYbsQ}FtnPoiGE!k~;QpLhBJh6ClJ=VW-2k!lRHU#dytm||S6<{>Fnfu+E?mWN z`=1W*t+Tl+GVq{FbS5!aHPP#5_Wl3C^#5;ZmQTRsY_UK5OWuGsb&~~DwAmNQCO!Ck6kAZMCT|wgV;GsEI z*8Q8Fvsvta!7X@hiNi|dA!NnS4gZ=LEoL2qgg{|6)F;FSfw}Sch7;}DubOLS)IeKb zO#UNsc6ovngHBw46RkYDdTle@`QE!W2$&4j>~~njgpM5KA4*vd80%;aNmwUH19+Hs zT4lM%!Le8TeoyiJIf*y8uHX{>iK2QtASy~OWQfNitt9R%a3SiEH8cnq>Cc-jMHfg(dS5P>=_qagbY5zGW!y+*) ziMRO`?=Ut!WqXRvQ`?4x@z~gFQhdzR+V9jPg6k?5pUdMUMktpv+|B;6pmL@!y|yhW z!Q8jSR~u_##+G%Dyp!a#fhVvK^ifh#slrEKDu{rKdC;pt`Ido0T8|c{29PuA*XM7l zZjx@gwF~cQ9qJ_LJkxWxyRqwm^>u}K3Ae@JQ{Gc;hUgpO*4h!cy(Jcd@LcM$?Hh-G zYzg4uut_E+ZP4eTk_ZZbPmr$LFotw zwg1IiHkPl~QKF@-wk=PyC!jQs*3>H$;PklrS3Lvl4MXf1Q{vQ$JO?3-3r#CR<ogn4&H9NWt(e+vq3YYXUUO(9T<`mz<+opK}KKj4uGCJX6*KQLh@7L znb%X&$$tZucX}rcfg1c~q4Rq?GF@NOwnKNLzs&#pBCM~nlAJ&^-<*6`F;5%=a~2dm zuO>FOp#MulnM)VS{B_1wG`hkMu?p5R)s&IC$!aS&%u$O5-Y^C;4>#dlZRunq4nJHQGu!KZppWijb^yiIN6rrw)=fZ!5?clWG&f<-Y`BSwUpM{O%Rw*5+V{ z%=_!69QA{hfjZ;4jQ0&#MfW||&%7F2SfZC8i0o2l1mlCI{D6knEnkVOPi^od226l% zx{JiepG0pim8T6Kk-)${eKx0etc=)zG26xSTx9cci7UCf<8Flq?kBw;gcpq>ozU(3 z%|(*k!=tc5un>Rgu6>2%5yYzn_Qu98q$QToY^=z^h z_W>pzZ>cV}bbw29ufl=U2ps&B8bW;Yi@>=bSD6np4_7lZu?jqp5-ka2WohqHxvWa1 zeF<;xYUz#9**MuXlUQPdg(3G`dMGf|w)n%zUXpV*1{OxlUW&tOrHtI7QD`{MPL-?c z39*NyaTIk7D5KYK3EUS4cLR@sdzO`6j4F*nO)fupX8{peY$oLETf7uRc#^;G+AZPv z7yLodF=tvGIVC$pNVza5@6(KxrZrg>$W6IUygI6^5^-???U;5tyft;yd)a@Ll^a`tyVVq%6aSv zgBM~KIPN3nX9vz{3RYFFLJP;>MN}`7q6Mgok5H%0O$^Qx-c$78cPA33@6KE73bQil zDv}{K#GTI(jDXN+3Kap1bbEJ&kAP?W#T z^x>LZ)?+VGJyY%fj6yEGM<>706W(6H zOT$krP2ax?e^GuiYHrTeK}qKthz(^dJR@71jpw!?sc_O(61&}3ViOu#V$;KgHiNb& zkaW9zH80R<>O(471JvIUWCoRyX7wLUUff^2gEbHww2q7-{t^-;?1v<>?%3U|2LT2v zk{=mpaMzP0>B!8~$iEUAt8PoIm7|5rH6U71~z0KS-)QPPMN!&ScD6=ajP>uS{ zc3Jz;c4PoETiCC*bdzyFk>~VME|tp}9pr&Gi1LHYit6RQKmR<1EitVYy#v7Ca@S6c z+*d1f!D;#(Kbu@B@d8ky?(p4~ai^!3g5qKs#!N`PuOFU&Q6&Gxk*4%?WkrkRP=+Aw zSHWy@yvMKFr-hljYNJjQO>1mJGbw0Sl`FHk5GhISS2qHG_&uf1b0 z@sZW)d-$~Ly_4l%sP%)B5fLEZ56uNEyTQI!Vn(TW85DnmW%^?>Vf8{l+a42CrqJJ}}5txYsJKOB}~8MV7wdN&a3aqXokH z=cMbW8a(Pkl~&W|5Ktr(+Ic}JM#lgpaU>NI!cimu*FVc}7VG04*A$>VK;FskZKT@U z%}>=_9WIaO(vV@@9W-Z8mA9UC8TMYrJ7d8658a=7%Ja5M3A{|tVcx-DeR_Z#>PP-Q z@^Q_s_`JN*PGqb~Wsqe|RY}ABuHfbmjeU^h0#|G)pv4&{HTkyBAtnN^=jkkp{{1$K$%?8xkJ=7Ioj;#BWs^%pG0*vrto#$Ti73F5(= z>AHrDT?Znm@zCE?Gk@HKIwL@oa%o*n!ufG#(yEaj*$f^CJ?#L{kw@z5du=YACTV!yVV!BlW@8etvBFzZdC)beEtULmyGp!@EnF18B@~>8!%Si_>o=&T2C|`T4RIBI zN zqzIE0k!Ou7#!i8^un+5(LO>G4(vVi$U7+Z{3G48+G#mj$PYC7@+UX^~3OQ1!azgQU z$6Q!2K>uEvi<7#26+z`KMd^bNry9*V6iskhYFB)COmgQ=P`8@nwwIZc0uDje#KAhp z<fV=aw^* zHD}*_vF&D_P;3>7dTmv`c(ondR6*{vqs&GN*?cdGXfrLXcO%gzq2e%SD5}Km?j+&Y zI$Fuk=>w}>sS5A_N^sbBbU$Q#U*j$&9R&S@<65NE;9mc&%Aid~%S*D8I>Q0Sis(rM zy}ixe=dL!i`t@@t=mRCqVG;X%n%$m}0M!j5#Sl*e(D3T$2>ybbZ({;kI2p}!5yEh2 zE;fJSO?i+!!5Hwca}nRUf;VUu8A#cY@{G}azbA`n`q8Eqr-FC22x*sk1SdR>Rl>^u zLEC4+LJ$Azj8O>?s*j@C^WG8&im}poz1vlUgU38*@@rgpAgt`74?J~NmC>ORr5jB2 zM5Z!|UGL8Av)gp0z9!G=XFAD!`lyO^C+ZHXlFd>$3bzd3Oygb1C3w>9i$L`v`&KJ_ z)9iw>!J6VWTXhZ24rwwYx9pPA*AyTa3+Y)FNLZg;aGTRYvWXS=Fm`1$*abc zsABv9+CTwlq}7s!_-KvyR^M_i03OI+9F?O5EKIl@wgj3S_YqXV%CspzW1)ubfOU@Q zLy`s^)ERE3Wtrx%k>V(?4;r!Hp@~abC54-f6E{k#zJvh^v+ABL8wZ=ey`n80&hl!> zmF;HTHO>5^m?Bk^*LMTr;MW#jhnSnJx>Oj-p%*tNG|M3B0Z>@pz^98O0({L&xSzx= zer47?ve>3urr?=H3^=sUD zR#xG?mDUXoizR&U1h2iAm-ur;rZOjb?cDJ(|7%y0wR6@W%v!HQnnuWzf_~WcK|C7% z?b0a3YImmWV_1>W)#LgALyKp-R9M1!hdk;$wJ)X&>?=W4hBQecw`l7}U11t&&`f%5)TH5(nvaAlJBe8^9~w{CdS|t zO=uE!54+hcA3GuEsPJD<4U$Z z2OjkA21Vc25C@%^D%`VFcxOCgC%&B~l(;Hp*r&&$B=&0@k zmmU(-VRrZAOG^nOT9iZ6?phUtA`y}3wETlB-{dOX?WCgTvpk;rwQXWiggta&xnkw? z(1L!l(*WkjK1kIT99?V%<7;sM<sjbVn6Mb)T8A8bE zEXqjjedRU1LKxd{Ibl%3o|uNgL#h?{1QUy%2C0F9L+Qe;M5!mYa53+yAz3SZUR-OA z0?#LHzZIaka?NZWtIxiYA|)2H=;pclmR|lTLvfD4pnz4md?B9$`mR1ZDH60D5y4fh zm_W*MyRin5#-1I-(v%2@rPHB_VfSE`95}Otkkct?5Uuzy_7HS`@*Y7Pu5vRxc_w_3 ztvQ~%b;mTKFk4sYHC!A?_(`Hw+3v6hxOnQnLIOz#%tc;yTL)P)bbb~Y0#`POPbjev z^B8E1bv0UgWDd%r4e~^Aecl~)M!c=4rWz>~Psx9@*-5Ary1t(f)@2`Jez>Id{%K$m z_Lkl{RROetkt%G434H=%!B5iEABemNU$#W5CvE@_L^$_HqT3GIqC*wV*`^fDhP8@o z*VC#mYb1w8Zu(^vZ^`c7TUn{+j;UMhySdu3+b58}<1=1@CPW^;nd*(nCZ9^A9Zg7pvbNxQiW+ME+fe|1mCb42)GxzTZhMU$g@0ZZ`H zY_j3}Wg;H)!%iTIU(E(LEw3YXb+3$~nYCEa{ce+uoKtN3x4ilzhla|<=dA+{NLoc( z#GYGetk5iaG8SvpPjKErH1B$Yz*xrC^c-dlqUbin-9i0>E@G7eD4&f^-WHbCP9V|q zk%3xLniDR(mN;K0-Td0KL5VgUVK8&^CF%*8fPCyH!5-GSmjO(+F%`T;l$6-`t!<-C zGx_LT-YNW6cV-{PzW(T7f(wZ!1Yaq5h){UUw7I$H4dcaux7CrQr;t~R{8^#tIqgN2 z$#tPaL`UUOw-$Ad{E6v{R6hKnWev~lzM3Er-z1Tub$bsot;aq$UXFqvgicLonZs>t z90J7pr#ElX%vt!wQRxLz*Mgw-#soMwyid7LEhK%EJ?}D3w`{z!j@!9f8ah)T!&nxk zW=TSfzH{#DMdSV9&PY;o^UIO&w<5F;IMbq?feSC4uH@Sd2y1hPz`9q;V|-2G+YGbh zIh-ONfG)gUQ_Irx`QpHK1PHT?{v}+Qjur$_{}dBZGhtCY^bKA$9KHAa$(6}Ju>D&2 z59TS|T5oP~J)arbT=2mpHx6$~AKXji%lF$+MN0@oW2ZVxCwneChVAj@7!)sa6WsES z1G;`a>xv4^ptkwcUm<+8cXEnW(24hGM)qXC1&lr1zr$ zIa*JrH(Kf=4tLzbZYLqKj_Q_=cX!=YmKMn!e?ZmiSUVo<%t6OURes*Ip3ZM+#pEu1>WK5^ zIlC#=1372uRNCb*$}ha0&T1n!((rEha8zA5C8&e#IYhpQ+0dol_Hd%5PcOi>z@S&6Oxdz`QM7~_=<+Zgm<8RQ&{NtevC_!orlkDs02r+BAzFtnab{%yr49*ZVE0N z=Ay-sSb9`!veRlD#5ue#rV8*Yw$JpP(<`Xin(a0Sp1Yqy@$P)z0!L)C=4De;O+lc= z;~ecOqNWsCH7W2PWrjN^|0y`;jX>cE+mj!N! ziWa-m=$)mZ26Q-uELJQK#i|9YM`1OZ1Pn#xg@-gnfpLB*@QnvU$-?!4pREdg7kVpt<$I5b^vM8Bc840VKXbU3`rolFEu~-#3Vm z_@CcwHbP+?PkUEmC<1u0mvc|O#M>zW9pHR7bUmRE=Y_l{-BZ8@J~+JvpL3Q;XN7^$ ziQayBuc4J>?|`#%@1RDpI8Vd`J(+4Xmr+G_P!YL(uYCM z8=WQ%x`BFvZ-%3aL`QI5<$yjkOy<`$Bw1a+FoUfmi$dl{dFV+V%`E6kn;kB>vvhJ% zc)USuCfMV9_Mz;mKD3e?N)KcV0|V9J_d6l60wwSey5bXcAe0p9-Rpfrg*GcjX42@% z#+(X)-t)&dl|B2;8W@<*niuP-za+f}o6$azTFVJ=STRA*pf4ay!K(C6HgGdy#PbPW zt)>u>b6!=Y3_dM%tUzQgE4;7hUAzLR+)%0!kmF966=H=@4{;^uT`Y8^!eb(&9MjZA zBUH7^)=qp>sUw}55=2(LqTEh43Mm$(MI}yURAF6|aNF*BVz+sQFl#V4=41}+Kie$o zC=(etIJ#ej7Nf13tZbaDZD@EXiWG9bvI6NXH?bNYLsK5Ry{zX_hT5z-^6httjT7y~9)gWawZ2~s1 z6oNId$omMAcvxhV+%_~OIm7Fh$@R71Y;1FcA~%m0ox2~G9T%k}G=V#<%D5dW%Mc&? zVV+94eb^^_3&kA1?ii4bi1@fBt+_}*(NPk2Ka|aEqqJSMq?LtCgU3;?hW3_RfPYOz zPZ7D1UD3V3#*S}>f%X)H6Y1ZAfKKaLUrNhU^=;RSPuhY!Espf2JGKt)IVW|s9L$|b zPM4I0ZlNwz#yKZ)>wRJpU@y4Ti(MN`4cn7S=)Qc-pI(0^a_1c@%l9nzk04JyrUG$c z?h8$<)F5&oR;qI{RdMTkN^<>yKWkQX4xz?juymC`+d&#_m8dy5ECbVC(2V_ z(}INmtBjiNzst-pWz7FSW)qZy_yS^|T0sZmWBRXCv~_RgrS8yyXs>yTV*NA29PSG9 zFuX(X*9`Oj$6Lr1OmG*7{V+gyd;aG9Cg`3R>-9Dq004=h0gzz!zqiH^LGd3buC6Yw zzsHGh_&};l1pwSm1fVf|f}ep{WPSi4ZEb$*PNehaQY?%sTVmf?2|uIc>HI+Pu(kdT z3c3mUr0**KCsQDxArSjtFcYRf!~EWvKXt}2&SbFsyKPpQu>a{2Pe*H{-OujO{%Z*R zEzUPj528UIzxv4%^}A{`L4TDQ_^UB6j153zh}pysls`oW{&Pe$L4V=tqJL@AuC*U9 zzBb>9AHTu*l`!(NHx>Io!TcTt4gHy1LHLU|^@l&fxc=6cKOg&;mLSD62i-3q`edNL zd&Uy3>*(jHgG32>*dbl4{k|h!{ZpFZ{{{}GkN){Tki-bOX8m7b`Ok9{BfUuezgPaN zPmaldTp2S!1)V(IepiW9HYO00z&ENNMxH1y8$s{i)H@Z9F|n7V`L~Iqt;@fxaB#oJ zF)e1lhB=C*1px#B%A$%w+EQB1*Y5hmMf4DgHeh8R-P<-`H4$+;V<$UtWr&!*1WXBj L*Hy$vPxgNR)G1zZ diff --git a/ENC_demo.runs/impl_1/PmodENC_timing_summary_routed.pb b/ENC_demo.runs/impl_1/PmodENC_timing_summary_routed.pb index f0df2d6c73f1d3106c1fca73ff0c496a353dbef1..308862232a9f6ed6639b4013f2aa41fac5c171a8 100644 GIT binary patch delta 57 zcmd1GnqX#9bMt`HR9*%kcp${EL0G0$^5&r=yQ`8Qkp=P$ALM0@s{a4)>NHgitVWw* HgN_IQFJlsK delta 57 zcmd1GnqX#faQaz?sk{t8@IZ)RgRo4ieP}-SMQfRFCLo`f5DS~vc0>czPtB{fQE+Tq`jM7 zXY3*k{SA5?*3Ab;vzHX7zL!cQnMPr#MB1{_TZyKLcbd&NH$;M@% zah6np_eoG+0Nx~SP^@;S@;w)!DLYadf!&p%T}~$X@Q&%Pp-EVw^l~`A^}PA@5@pX8 zubmrT`()+6j8*O$ys31t9hRl?YBo&e;pxTZhGmEQII_>qnXQpXY}~e-p!D>_ZK`H= zeO#NOGrGFt9hIxC>y|@?t2-RBv*RIubTp(-aMOr-$m9|=A8C&fj_mNf=hQ=-zu1}{ zq1k*SBZBhgfW=A;zU!*!{GeA7KbNmDpC-iD#@MtlP zVN_U)b!U&@&J6a&Vvn|cg} zuc-CxZPdC?nxk~+%$yli>yjyLY7xpozjQ zUpK~v&K`oM?F})j*#3~q}Fq~JwutFTTJK2e($(Vko?sIN2 zn3f$#o=F2WnVd_5tfJb$c;4#W$2ewaU4ho1TK@%|Jeo4VQoYw~BIX-H4pen-Y^ zcSaTGX1YJ*X@D%OsqG+0enjgrZYuIHm8Sme2xG7$W(-~!8|!lE#Ag zjt>?r?~V1t(6}C0ktxH}OlQ*N7=3v+Aq3ZDM&Xh$HJ;C`Hci$CvABJ9AXY>KlF$Z9 zr}h<4km6hjFy$r1uta$Xq(plUME>!1vOjynLyDu8`eE>t2=wgt3d;w(F+Yy=>*B(5 z&C(cA8RUA$anh zRaU{j!GVuSaNe>gcoZJiD)2!_cBo6@-PJs(4}K!{E`ktAIb`))=cU^ z%o^-W9zH93sDE35{b&1YA+A? zBb`>p<{>zD>R>r3e%VS?TxjwmAnFyN1LpY?kYdXH?2Fu&b{%F6cqT0w{#+xamS^?W}-Tt?wf>%|L4ws); zExJ?%^%0;Bda^KYd}s^Pbn5sRswDa0DKU34#Bc*5u+zif)m$e1+W zbU=9PNkXk;xm|Lw zrIVwZz;^lcCQ3Dg*w(dfYB$B{d3}xajKy~$ZH>@{x{{S@&{|s2bJvb0k;`g$xL!1o z;g-5Vyq%R}8AF0*XBX>3g%0Mdk zx5Tm|jK;z{x5g4n*8_LFKg5lmGMs%By@roXkBBp9>7U3rL+@EOiv+Jy{nh@K(8dfp zZ?jg!^rVIpYrP!aKbetmf;k#yhn08fDeW(xP-=eN47bsE%^gcqquHQUwYc$G)TUuN zcK)?R!*tc#G-6xuK|`tq zfp9lrA%gBZ{n_$+PURzErNg?^1HA8)ft~Z+Vy_s@`AmRIV!W0unds1qC~}}X>+!LI zI$Xyds2|-KSFd7psFmaK!gM}3q8tNq(r*fMAq_L(d2Z+!*u&!4NzHCDeX%@X0Q&7D#+WWsU4pOK6s`W#rreh{~UG-N?xyXm;YcFS&yj>@6q?q|cn z)-=%&Aq_KrJ;w8}(&5X9rN$FX%>jAGZ0sO5d1vcX$UokUl?|GN8@RrOaF-Qo!6p-h zl2k~JiXhgmTS7tLJi9*wqGb&>MM5u~s2LsvMtgvfh7^Im=)R9N_Gh z!&vjRT`QSTunm@#Uj!8wzXF!oF*jtSxB;Sw*J1Evzb<(}sAr_-XHr}ah3wpLBcJAr z;b~GpAgK*|+!bIFfK+dUbbbb|nB_;RPC+2q{eT`2>7_xG$+z##wk`pBS_)0XlJ=-! z3}}v^=~=p`+=xU*mzJysDVS=s&td%ewLnT3*zkJ#jlkkAn1&so;t? zL8h2O%^b&@QE4|zv3VtY|L-oYC1?)JA=LHId1ndDL_vZKD*(M&2&PbBiYC4+>)r&Isd`S@}VdZ&huR__R;yK zI?{ze@5H1xM&D)z>$@`M3?|k8p^Jshz9P<|j<7H-j2tTpbKad<$bK97!@~(f4OoR~ ux<)MoF3pG83N63$G_Dioq%q1EDLK>t8usyFYh1V*VcD!)WMFsZZ~q7J?bMwB delta 8377 zcmcgxdt8)N8lHE)&w)W;fDyUKzzhO%5t*4#l);*x@wLMcZ~FhNCV6lGJ;ewmxA z1HP+Av5@UzmTA_cU!{b$VeYOPS^8^jE9%$PT@%dOHn-gKUFO0d;!j%n1NHmPd7tNb z-{-vNoX^+VJ>O~fY^;bqMoyWw`pD={SAgt*I_%Mq!{*$~vmyMG)(Ba5L|8}kFW0Q5 zm$$UYhZx7FCZ}Nj2n|kW&a1xv;g@UIMw*17GTCfQV?z|eGqr6Fd&!ICL*w155e$up zm8uAqkAs_48g4taLqA-@hZB!0B3qDT)Sr;9rBeVl`Rf=3TD zxRU2zOZlTua5y#u_eaGM-H|0c9T<+=0|%Voq;op3KRQJs_dI6}urws0AMTF9&d8-9 znzYHK4_U77UPrCtr+Kk=En4^>Ew*E>Yq1lrTQ`?k6@0cdv)9yR(P*+dyl?l&l7zPu%vPhgrnY~Ac6T1gPpma=u%AF6aAa`B1U3-@i1)Gj>W&0kHl@oYV6hq;Njv&z?>ll-i}Z+ZsfQ=TOK_tIJ}q zy5Kp)kW^Hn$4%?B0t%miC5^s;`uoj`%!Z^~V}S3Bg4~=*=3;~44!med#&@;R_-&&v zT_eZR(=ki8jzsK-WUi|cR)ft{@&u52GenCITZYQ(fIILE>;)=YEj+{%+wa4iIDZ_w zLx&+L%jGLCV)b*GO#3KrAzi%Y#`#g6N*6=no6W;*q<-=sOoj6jgKc5!db~ii=$p-h zu_zx6!`2(a@Uu@DUg8*7V+&@@G$2fgV^(>8B?$Vc z=a55?`n~mo7eoT*LjaCh7XjtOFCP-<2BZc($*uq`!vpa8{905>yCL3AZp+!Hi3ik`@|HH#i9Ga0bwc%-K``%$>}t-5Ti{xVsv z&Bmg4jN-k?@Vxcb+MccO#{-NlZvVFojmK3dz~^$FyG?np30MKDX}%6kUu^N zeEjo)8Ag41`xu_R;Lh-1&wvcK{LJEvE9%=*=p7!;rJ^5qA8$hP7k~j=m`LgvxNT|V z5|rSUN6efqEEw{5Cg`yJ@?$q%HDRP(2cG1K0El)=>j>4!hmuY7hQN2DYa7;N=M+JZIYi3&5jlDDOIXd-N5Eac3g~=; z>fSMec<5jWLs9uIMgDLK#5N`9NbDnF<|Mscg1(7LsQxmPq(?g0d+h2G*x!0vrNj*} zPU34>RsgcIHR>r_isE1^k5gUenC2Cx;`c&hhCxgJ7?)v)tLVKcj8MJ$UYuRZHqBb0 z8j(#)Up=}H_)FYDP&B`7Y7_q60ra`)6#cuncPQ(=zhHV&zOMwZ^tC|c>lnC5uryLq?N{XDB7tBV+>OI42T%cubP)M&y{aDw^yHm&Z6y zm&{WNg4cR<44*h&MfdxYU=bSQB0UYEzB9@!%a>tMX()5>`(LnU{Ycb4H;ol4Bh{W= zcFg-2^8e5`-^I`x@E%}ZQz(gt38MVmZ}pg{3M2QLU>k5`*FqRhl4>D}Ss!_s9I(>W zl{F8_mCX^CP;5HQfz5@NKpIOvoknk0($!&{{Z1foNM$RQ`M5wcDe141F-1@#UZDF= zJGNO>IPYFTw2_OS0k4axH4%GiQ<-n3pCg^;q_TRoPI$!BA>U$*F_ESQ(2$e!U~2y; z&qx5V%a3C&bH%z)YERJR{ip*6&j95Y;jdU{6 z)j%?#DcsC0dI0t@q;W-mc^pmzAKg*V;lMBVI=i)jDsEe>yg9{0GE#5JL@*Q$!cjJd z1nn*s64#H}{AU-~#5;M|1*wXggmqa!QLfq6Gc<;n1AhGwx_;Jkt#y)*`9*Z6_#Yw8 zPjf_558L5Y?od6yQ`NxFwTUB0=AykvzP%;~Wv;@=LxgE^$A zl?HeF*v8SB{&Iel;P006lvBi8bj{GKvx=Ly6Y?B=FN21DaW{-4O9+GvGQJl{-wFQs zFN-H>L@;u#L**-_>o^%&MVCI`Q3TsdnBlvA156r3fuC8_ZkTU?8{A;C=SAM#O%FR2 zZ%`rK7S;`JFA#5>8HM2<2x`!0q>GYUxA5v>f1)KvF03y8s%id zWp>D)_L$6<`2p0AR+9fVfJ!`1xtE*Ja!s69DS2@3hsfg$+E{F zh2;MmLYTJ`wvYq+VLOvrVZMbfAE#SZ5il4Gl#k;S7 zP&k<2bLq!m6}NvQ1Te(hLHpCuHu_8YN}MdZPSim^q_SkY6#@qXNAL7B%90Us|LfsO z-)be;AGmF&V0J$yAQ>Nmg?u^$^c*eTZUvm@n$Gpx5;!{g4h5X%sy~6E8$^7D_@1Cn zINb#+hQJRTHQ2!T4)Y`cIdK_EIQ<1s4UzkLlIq7`oLGIB@}Ss0cV2^)Y~5&2sc~w5 zg8QL_toaFY`k0eaeug(f7LdQlb!}_=ZNAd(>f+ Wttl7!*}i(G%k`9=LDC}RtN#bGrag@S diff --git a/ENC_demo.runs/impl_1/PmodENC_utilization_placed.rpt b/ENC_demo.runs/impl_1/PmodENC_utilization_placed.rpt index 33871b6..8a684e0 100644 --- a/ENC_demo.runs/impl_1/PmodENC_utilization_placed.rpt +++ b/ENC_demo.runs/impl_1/PmodENC_utilization_placed.rpt @@ -1,7 +1,7 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2023.2.2 (win64) Build 4081461 Thu Dec 14 12:24:51 MST 2023 -| Date : Thu May 23 13:28:01 2024 +| Date : Thu May 23 13:50:33 2024 | Host : LAPTOP-DWAYNE running 64-bit major release (build 9200) | Command : report_utilization -file PmodENC_utilization_placed.rpt -pb PmodENC_utilization_placed.pb | Design : PmodENC diff --git a/ENC_demo.runs/impl_1/clockInfo.txt b/ENC_demo.runs/impl_1/clockInfo.txt index 0bc1f88..2fbcb5b 100644 --- a/ENC_demo.runs/impl_1/clockInfo.txt +++ b/ENC_demo.runs/impl_1/clockInfo.txt @@ -1,6 +1,6 @@ ------------------------------------- | Tool Version : Vivado v.2023.2.2 -| Date : Thu May 23 13:27:59 2024 +| Date : Thu May 23 13:50:32 2024 | Host : LAPTOP-DWAYNE | Design : design_1 | Device : xc7z020-clg400-1-- diff --git a/ENC_demo.runs/impl_1/gen_run.xml b/ENC_demo.runs/impl_1/gen_run.xml index 61fd849..0589e1f 100644 --- a/ENC_demo.runs/impl_1/gen_run.xml +++ b/ENC_demo.runs/impl_1/gen_run.xml @@ -1,5 +1,5 @@ - + @@ -143,9 +143,7 @@ - - Default settings for Implementation. - + diff --git a/ENC_demo.runs/impl_1/init_design.pb b/ENC_demo.runs/impl_1/init_design.pb index 94b0f08b1ddb5490008bbf86e8bb3fe1912b1924..d19ed8d4344b792a8b58367a601c9bc689d48b51 100644 GIT binary patch delta 97 zcmew=_*HO1zkrFJg^`63Cl|Y+g|VKox#`CFmdvb1dX^Rjo9{AzXJWO`Gc`1ue3w~v v^Eb8~jLK$4TwELm238KDrnwW!(Fg3T-Gc}t0kWF;*GY)M zLSjpAZsW;jWHbd@D+AKIS&;8PBcmCN3%18wpo4KTzknRvY<{68kQM;}n2FMx&kAQS zF`0r~4>ks@uTX3^BclO|P`ZQ;6Qd!D&^ak_ghQESR-j5xekLs(>xqPy(#(OXusCIIU<{p^%W;3nHj4CEZTwELm z23A012xc2vTAJzs1I37wi`~-LSkKVHVse6s$Yw^JOU%56Ak{DxlOO0W=QTnTk!9RC z*-<}cGM}O9LzyKlkO{O7ErHoG@bQ7PFEI$->s|L2@xkY~407 zUl&%9k6?&J<8jN#>cnOqhf%6C)8^HIS0rFN3#Xw3fAs6_0Fl5YG90nu!nMGj2N%Vk z9{8zeO7OFA3873!&tq}}!Anea%~qo4$Y(Uuc?TjxWdz6Sq)Vy}7kxlAZ*eqwkAP{O zO(8z-L$t@`d1B0qDJVDaExCNCD;M1}uc#+}FJ|ithgzhquccLfHMN0YGvp=!Jl*KT zgSFIWt9OWypLyu)xit$&GYwG;V4QP`ux3weo9WK0ji5Man?yG=gn!J{1*r#xTKZa| z-F#=Gq6#df^B|Kc_@a`GNk4%XNFJ%gki~HOCdN9Z{m!0G5VlR_A1_!oc3_;QWb2O} R_G!8A3NbSMVIalsegQbWuEqcW diff --git a/ENC_demo.runs/impl_1/phys_opt_design.pb b/ENC_demo.runs/impl_1/phys_opt_design.pb index 8e6576127d909fdfb070133fa7778ccd731819fc..708b189fadb44e49f14b93c351341b7f72f033f3 100644 GIT binary patch delta 166 zcmZ20wpMJzJw`^O$%>rf;)a%%W_m`3mI~Gi>4}+n3bqOcdL|Yon|YZ;nORKq3@j#3 zV3V8d!rH}SYQ)9GVPs$k7yQ9G1*~H81hy_l9y3how8^VD^bm&p;^^lwL07eza}uV> H`CR(|spcx6 delta 186 zcmZ20wpMJzJw`^8$%>rf;)a%%=6Xh^CJNRH>4}+n3bqPHdWNQEn|YZ;nfXlh49$&< z*tu8@^bAbQCmXQNozVKkky?RxL;{_dZjlgz9}wuzJt%7?mtb`eDZ@k-bFZLlCq{>UL*)`*F|be81S-{}!ZvwY-W%K-|ItU+o=C;0DFI^f1&AxhvfE75%Hf}@1?x%DH8zv!$ zhc$1y0*kPeXxffX^@L%Cxl+V9uqYOYoVN!_K`;o*HJ{wPtjUr_G%;`C5HT(yBHl&X z&}3fI6=M^SIJpS8?0ZobuwK>fqgfL45jOas zn^$#R)KEr*FNu1KLpLaD0-g)0N}6#J_#=$c8QH)R%H77Aw;L9m`*ZaaY=Y$wHEK7e zaN+O90uYc76_}M4KEj1yLq0(PbSc;B^X?KW@!|!iz{O-N4eyz#x>^OE-e&l&NI4~f z4Nc_qT}H4H=8I?MAGEDQoFS0x>?o@;9SMUHNB%RmT*AmO6_1t4ZL*GaJ#aue8h~%z z<-njnX%iP19$p^}mk|%bRL`%izYgSfTdUyj9dIL2HVirU%k46p-@Ss1NJ!N*u5`Jq zx5txI{*Ei8o!4YF(Q1vKyhPxTK{O_$6ySkc=ARTZ=O@0sNR=yl&J)UAgeLx6jv-bGafgst`Ps@c5+_IvM$ zL|1j5F&Mn}?Q=1e6+vf`VVISU+dztJ-~l^8Y(M~}D&KlB&SW%I*44b9#&{7G@lA?M z(^ZHUtqh(kdmCJG!J=55eoWA7)ykA|i~U zT=$S*aeM1)IOO zX}eFT=YjsVJ{h~{AsMEyEOyL4-p)(@pJO5GvYZlJd!+=7 z%8l?D1w@k|Vq!yw>QFsIqakY--Y*Ela98PZc_cS{QwBxOO>E}!=XNiiyE$tF1h<#} zS(%^25Q>;GMD2ULvPUXw9;qo`Uy&Xj8X7)~iG-n=MgC-PSV)*-1Lb3a)DK^@I@qJ> z?YUGmMv;23LXo44(Eepd+$Y@Egk?2ll5TU@A$7tBZL?@#ZgRy`9EU#<>iqi(z)#5! zeH$XB51o&MLEDJ)n|^L%xD?!Eb!@uog1$CCEXJdy$iT`jTLV~<;aQ@jd;rcQzZR}6 zOmkGU*mWJdy@(=gs)~vnNAROVsURBWiUM(#unSl(wsi}+V!cbI|Gb7)SLa0`Z{5|| z0^hfPT#DgJU8&NrB#DS~HCA|%r&HGpgf+BtQ1B7zz+_>dTP|?$xch3AQbZl?8TBz{ HE6@J|vL?y) diff --git a/ENC_demo.runs/impl_1/route_design.pb b/ENC_demo.runs/impl_1/route_design.pb index 912c38faec3fc090e94b20ba2091fb3e50552258..0fe3763fab9000e10562561747355a492aa010b1 100644 GIT binary patch delta 1469 zcmbW1&ubGw6vv6pHkp{TXxkW5V^^ey9y-p?of#z-DPm5dv``3McDG#-(pC_>=%xA> z=sS4u(t6ROVWB5IdG>!0!BPcL4<0<}Y^pUXLZ+~XeZ24Iy*J;tJKL+{G8QY;?aNT3{tLY@m0}Ogm5D^x<_xqbN#55UBxs zO_k@JnNQ`9!`2067yGtyrx=7Lj#X%vZC&i0j@yO*_jK<^F1aEvMnAhE`p7d^q;vF& zBa*hpWDe1UQf|VPU_b-xN0iwo2!}J1)gi_RtW8{VF0)j<;LJ=Pd*IBp^Biv1I?mks z@kfZpfznKebU{#pTi7$xJD5lA>hz9I`>*Sm(lg>>&$xU;^ zN~IzpXYIp9_HNhO`@I<|6{z7@8x1$bcv?U8aMbH%pi7=sdfYE9boqVQrFcKzNHy!^ rDyqMr6?K0LV8UKsAH+-{i|5)UJNo delta 1482 zcmbW0ziSjx5XZUXHn)4`a+n|Mc`@dRq)3tHyr274xf~M2t3*v8aM%4r=m1J*1_|F5O>^W!QienF(g^yMcp-2i$N!hq(Uj&c0Oc>+C{*#$F>{}W{vxvP8zw3 zsoKqsF6E%UjD8-`lnYMqedV6L9)wW{VfwBg$1Z1wURA$lhs^O8*`e?Gu%1d%udD4*=L103A|jk0nR5! z^8TGCo7&%h?vzW+7gTD6x8-D9Kl8Y1{Z_CC&ntQ`7klC%9x!^S&%}mz3l;xJQtxj9 dPKgLV$@z->HwR|0p&nq1RmZgXPx_%={{nzJc`E<_ diff --git a/ENC_demo.runs/impl_1/runme.log b/ENC_demo.runs/impl_1/runme.log index f2f35b4..ffd5fbd 100644 --- a/ENC_demo.runs/impl_1/runme.log +++ b/ENC_demo.runs/impl_1/runme.log @@ -14,7 +14,7 @@ Commande ECHO d ** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. source PmodENC.tcl -notrace -create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 477.062 ; gain = 182.453 +create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 474.828 ; gain = 183.375 INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1700] Loaded user IP repository 'c:/Users/hdway/Documents/vivado/vivado-library'. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2023.2/data/ip'. @@ -22,20 +22,20 @@ Command: link_design -top PmodENC -part xc7z020clg400-1 Design is defaulting to srcset: sources_1 Design is defaulting to constrset: constrs_1 INFO: [Device 21-403] Loading part xc7z020clg400-1 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 924.883 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 922.980 ; gain = 0.000 INFO: [Project 1-479] Netlist was created with Vivado 2023.2.2 INFO: [Project 1-570] Preparing netlist for logic optimization Parsing XDC File [C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.srcs/constrs_1/new/zyboZ7.xdc] Finished Parsing XDC File [C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.srcs/constrs_1/new/zyboZ7.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1059.746 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1058.516 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. Le chemin d'accŠs sp‚cifi‚ est introuvable. 8 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. link_design completed successfully -link_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 1063.727 ; gain = 578.934 +link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:08 . Memory (MB): peak = 1062.547 ; gain = 579.520 Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z020' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020' @@ -46,13 +46,13 @@ INFO: [DRC 23-27] Running DRC with 2 threads INFO: [Project 1-461] DRC finished with 0 Errors, 4 Warnings INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1083.656 ; gain = 19.930 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1084.441 ; gain = 21.895 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. Ending Cache Timing Information Task | Checksum: f9133b0a -Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 1628.195 ; gain = 544.539 +Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 1629.281 ; gain = 544.840 Starting Logic Optimization Task @@ -61,37 +61,37 @@ Phase 1 Initialization Phase 1.1 Core Generation And Design Setup Phase 1.1 Core Generation And Design Setup | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 1.2 Setup Constraints And Sort Netlist Phase 1.2 Setup Constraints And Sort Netlist | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 1 Initialization | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 2 Timer Update And Timing Data Collection Phase 2.1 Timer Update Phase 2.1 Timer Update | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 2.2 Timing Data Collection Phase 2.2 Timing Data Collection | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 2 Timer Update And Timing Data Collection | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 3 Retarget INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). Phase 3 Retarget | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.017 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1995.734 ; gain = 0.000 Retarget | Checksum: f9133b0a INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells @@ -99,21 +99,21 @@ Phase 4 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Phase 4 Constant propagation | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.016 . Memory (MB): peak = 1995.734 ; gain = 0.000 Constant propagation | Checksum: f9133b0a INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 5 Sweep Phase 5 Sweep | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.020 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.018 . Memory (MB): peak = 1995.734 ; gain = 0.000 Sweep | Checksum: f9133b0a INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells Phase 6 BUFG optimization Phase 6 BUFG optimization | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.062 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.058 . Memory (MB): peak = 1995.734 ; gain = 0.000 BUFG optimization | Checksum: f9133b0a INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. @@ -121,14 +121,14 @@ Phase 7 Shift Register Optimization INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs Phase 7 Shift Register Optimization | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.063 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.059 . Memory (MB): peak = 1995.734 ; gain = 0.000 Shift Register Optimization | Checksum: f9133b0a INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 8 Post Processing Netlist Phase 8 Post Processing Netlist | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.063 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.060 . Memory (MB): peak = 1995.734 ; gain = 0.000 Post Processing Netlist | Checksum: f9133b0a INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells @@ -137,19 +137,19 @@ Phase 9 Finalization Phase 9.1 Finalizing Design Cores and Updating Shapes Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.064 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.061 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 9.2 Verifying Netlist Connectivity Starting Connectivity Check Task -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 9.2 Verifying Netlist Connectivity | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.065 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.061 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 9 Finalization | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.065 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.062 . Memory (MB): peak = 1995.734 ; gain = 0.000 Opt_design Change Summary ========================= @@ -168,30 +168,30 @@ Opt_design Change Summary Ending Logic Optimization Task | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.067 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.063 . Memory (MB): peak = 1995.734 ; gain = 0.000 INFO: [Constraints 18-11670] Building netlist checker database with flags, 0x8 -Done building netlist checker database: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Done building netlist checker database: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. Ending Power Optimization Task | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1995.734 ; gain = 0.000 Starting Final Cleanup Task Ending Final Cleanup Task | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 Ending Netlist Obfuscation Task | Checksum: f9133b0a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.734 ; gain = 0.000 INFO: [Common 17-83] Releasing license: Implementation 26 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully -opt_design: Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 1995.098 ; gain = 931.371 +opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1995.734 ; gain = 933.188 INFO: [runtcl-4] Executing : report_drc -file PmodENC_drc_opted.rpt -pb PmodENC_drc_opted.pb -rpx PmodENC_drc_opted.rpx Command: report_drc -file PmodENC_drc_opted.rpt -pb PmodENC_drc_opted.pb -rpx PmodENC_drc_opted.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. @@ -199,16 +199,16 @@ INFO: [DRC 23-27] Running DRC with 2 threads INFO: [Vivado_Tcl 2-168] The results of DRC are in file C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1/PmodENC_drc_opted.rpt. report_drc completed successfully INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.045 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.065 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Write Physdb Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.127 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Wrote RouteStorage: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Write Physdb Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.063 . Memory (MB): peak = 1995.734 ; gain = 0.000 INFO: [Common 17-1381] The checkpoint 'C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1/PmodENC_opt.dcp' has been generated. Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z020' @@ -228,54 +228,54 @@ Starting Placer Task Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 1.1 Placer Initialization Netlist Sorting | Checksum: f8d9d47f -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 132fd74fc -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.653 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.348 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 14a5a9eb7 +Phase 1.3 Build Placer Netlist Model | Checksum: 1e4df9921 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.757 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.452 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 14a5a9eb7 +Phase 1.4 Constrain Clocks/Macros | Checksum: 1e4df9921 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.761 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 14a5a9eb7 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.455 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Phase 1 Placer Initialization | Checksum: 1e4df9921 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.764 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.459 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 2 Global Placement Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 22ee850a5 +Phase 2.1 Floorplanning | Checksum: 17b76b9b5 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.879 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.544 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 2.2 Update Timing before SLR Path Opt -Phase 2.2 Update Timing before SLR Path Opt | Checksum: 178a56e2f +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1db71bc8d -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.941 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.607 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 2.3 Post-Processing in Floorplanning -Phase 2.3 Post-Processing in Floorplanning | Checksum: 178a56e2f +Phase 2.3 Post-Processing in Floorplanning | Checksum: 1db71bc8d -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.941 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.607 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 2.4 Global Placement Core Phase 2.4.1 UpdateTiming Before Physical Synthesis -Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1c4855bef +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1bf91f33b -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 2.4.2 Physical Synthesis In Placer INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 2 LUT instances to create LUTNM shape @@ -291,7 +291,7 @@ INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 Summary of Physical Synthesis Optimizations ============================================ @@ -313,55 +313,55 @@ Summary of Physical Synthesis Optimizations ----------------------------------------------------------------------------------------------------------------------------------------------------------- -Phase 2.4.2 Physical Synthesis In Placer | Checksum: 11a6a8cdb +Phase 2.4.2 Physical Synthesis In Placer | Checksum: aa8e48a1 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Phase 2.4 Global Placement Core | Checksum: 14b76c44a +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Phase 2.4 Global Placement Core | Checksum: cbf91618 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Phase 2 Global Placement | Checksum: 14b76c44a +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Phase 2 Global Placement | Checksum: cbf91618 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 16bc56469 +Phase 3.1 Commit Multi Column Macros | Checksum: ec4af27b -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 147618555 +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: ecad8143 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 9d8a2282 +Phase 3.3 Area Swap Optimization | Checksum: bd89d146 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 9f33218c +Phase 3.4 Pipeline Register Optimization | Checksum: bf34d440 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1af204220 +Phase 3.5 Small Shape Detail Placement | Checksum: ca22f90f -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 14dcc800b +Phase 3.6 Re-assign LUT pins | Checksum: 1916cf8ab -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 14e737f25 +Phase 3.7 Pipeline Register Optimization | Checksum: 18ed5f591 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: 14e737f25 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Phase 3 Detail Placement | Checksum: 18ed5f591 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 4 Post Placement Optimization and Clean-Up @@ -369,7 +369,7 @@ Phase 4.1 Post Commit Optimization INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: d414d2ba +Post Placement Optimization Initialization | Checksum: 1acc5b2e5 Phase 4.1.1.1 BUFG Insertion @@ -377,33 +377,33 @@ Starting Physical Synthesis Task Phase 1 Physical Synthesis Initialization INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 2 CPUs -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=6.615 | TNS=0.000 | -Phase 1 Physical Synthesis Initialization | Checksum: 99d2daf2 +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=96.615 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: e7d46218 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.021 . Memory (MB): peak = 1995.734 ; gain = 0.000 INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. -Ending Physical Synthesis Task | Checksum: 99d2daf2 +Ending Physical Synthesis Task | Checksum: e7d46218 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.016 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Phase 4.1.1.1 BUFG Insertion | Checksum: d414d2ba +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Phase 4.1.1.1 BUFG Insertion | Checksum: 1acc5b2e5 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 4.1.1.2 Post Placement Timing Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=6.615. For the most accurate timing information please run report_timing. -Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: b29d93d3 +INFO: [Place 30-746] Post Placement Timing Summary WNS=96.615. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1a94db50c -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Phase 4.1 Post Commit Optimization | Checksum: b29d93d3 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Phase 4.1 Post Commit Optimization | Checksum: 1a94db50c -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: b29d93d3 +Phase 4.2 Post Placement Cleanup | Checksum: 1a94db50c -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 4.3 Placer Reporting @@ -422,41 +422,41 @@ INFO: [Place 30-612] Post-Placement Estimated Congestion | West| 1x1| 1x1| |___________|___________________|___________________| -Phase 4.3.1 Print Estimated Congestion | Checksum: b29d93d3 +Phase 4.3.1 Print Estimated Congestion | Checksum: 1a94db50c -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Phase 4.3 Placer Reporting | Checksum: b29d93d3 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Phase 4.3 Placer Reporting | Checksum: 1a94db50c -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1612d5351 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 257dd748a -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Ending Placer Task | Checksum: 1482933e5 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Ending Placer Task | Checksum: 1962abb0b -Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1995.734 ; gain = 0.000 61 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully INFO: [runtcl-4] Executing : report_io -file PmodENC_io_placed.rpt -report_io: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.067 . Memory (MB): peak = 1995.098 ; gain = 0.000 +report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.064 . Memory (MB): peak = 1995.734 ; gain = 0.000 INFO: [runtcl-4] Executing : report_utilization -file PmodENC_utilization_placed.rpt -pb PmodENC_utilization_placed.pb INFO: [runtcl-4] Executing : report_control_sets -verbose -file PmodENC_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1995.098 ; gain = 0.000 +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1995.734 ; gain = 0.000 INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.008 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.046 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1995.098 ; gain = 0.000 -Write Physdb Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.077 . Memory (MB): peak = 1995.098 ; gain = 0.000 +Wrote RouteStorage: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1995.734 ; gain = 0.000 +Write Physdb Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.066 . Memory (MB): peak = 1995.734 ; gain = 0.000 INFO: [Common 17-1381] The checkpoint 'C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1/PmodENC_placed.dcp' has been generated. Command: phys_opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z020' @@ -464,23 +464,23 @@ INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc Starting Initial Update Timing Task -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 1997.254 ; gain = 2.156 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1996.219 ; gain = 0.484 INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. Skipping all physical synthesis optimizations. INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified. INFO: [Common 17-83] Releasing license: Implementation 70 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. phys_opt_design completed successfully INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 2015.172 ; gain = 0.047 -Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 2015.172 ; gain = 0.000 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2015.172 ; gain = 0.000 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 2014.082 ; gain = 0.000 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 2014.082 ; gain = 0.000 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2014.082 ; gain = 0.000 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 2015.172 ; gain = 0.000 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2015.172 ; gain = 0.000 -Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 2015.172 ; gain = 0.000 -Write Physdb Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.069 . Memory (MB): peak = 2015.172 ; gain = 0.047 +Wrote RouteStorage: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.036 . Memory (MB): peak = 2014.082 ; gain = 0.000 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2014.082 ; gain = 0.000 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 2014.082 ; gain = 0.000 +Write Physdb Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.065 . Memory (MB): peak = 2014.082 ; gain = 0.000 INFO: [Common 17-1381] The checkpoint 'C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1/PmodENC_physopt.dcp' has been generated. Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z020' @@ -495,30 +495,30 @@ Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs Phase 1 Build RT Design -Checksum: PlaceDB: 8afc5892 ConstDB: 0 ShapeSum: bd2cdb53 RouteDB: 0 -Post Restoration Checksum: NetGraph: 9d8b9bf5 | NumContArr: 25278e45 | Constraints: c2a8fa9d | Timing: c2a8fa9d -Phase 1 Build RT Design | Checksum: 248051f74 +Checksum: PlaceDB: d8fddfb8 ConstDB: 0 ShapeSum: bd2cdb53 RouteDB: 0 +Post Restoration Checksum: NetGraph: bb74f96c | NumContArr: e8988a3c | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 3295f78e2 -Time (s): cpu = 00:00:36 ; elapsed = 00:00:40 . Memory (MB): peak = 2132.707 ; gain = 108.426 +Time (s): cpu = 00:00:35 ; elapsed = 00:00:32 . Memory (MB): peak = 2134.020 ; gain = 110.797 Phase 2 Router Initialization Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 248051f74 +Phase 2.1 Fix Topology Constraints | Checksum: 3295f78e2 -Time (s): cpu = 00:00:36 ; elapsed = 00:00:40 . Memory (MB): peak = 2132.707 ; gain = 108.426 +Time (s): cpu = 00:00:35 ; elapsed = 00:00:32 . Memory (MB): peak = 2134.020 ; gain = 110.797 Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 248051f74 +Phase 2.2 Pre Route Cleanup | Checksum: 3295f78e2 -Time (s): cpu = 00:00:36 ; elapsed = 00:00:40 . Memory (MB): peak = 2132.707 ; gain = 108.426 +Time (s): cpu = 00:00:35 ; elapsed = 00:00:32 . Memory (MB): peak = 2134.020 ; gain = 110.797 Number of Nodes with overlaps = 0 Phase 2.3 Update Timing -Phase 2.3 Update Timing | Checksum: 1f306f50f +Phase 2.3 Update Timing | Checksum: 1f3849b3f -Time (s): cpu = 00:00:37 ; elapsed = 00:00:40 . Memory (MB): peak = 2198.906 ; gain = 174.625 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.515 | TNS=0.000 | WHS=-0.079 | THS=-0.588 | +Time (s): cpu = 00:00:36 ; elapsed = 00:00:32 . Memory (MB): peak = 2198.469 ; gain = 175.246 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=96.515 | TNS=0.000 | WHS=-0.072 | THS=-0.587 | Router Utilization Summary @@ -533,76 +533,76 @@ Router Utilization Summary Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 -Phase 2 Router Initialization | Checksum: 1ed077ab6 +Phase 2 Router Initialization | Checksum: 2873cf548 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:32 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 3 Initial Routing Phase 3.1 Global Routing -Phase 3.1 Global Routing | Checksum: 1ed077ab6 +Phase 3.1 Global Routing | Checksum: 2873cf548 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:32 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 3.2 Initial Net Routing -Phase 3.2 Initial Net Routing | Checksum: 208c29768 +Phase 3.2 Initial Net Routing | Checksum: 1bbbf46b7 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 -Phase 3 Initial Routing | Checksum: 208c29768 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:32 . Memory (MB): peak = 2207.980 ; gain = 184.758 +Phase 3 Initial Routing | Checksum: 1bbbf46b7 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:32 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 4 Rip-up And Reroute Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 6 + Number of Nodes with overlaps = 1 Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.232 | TNS=0.000 | WHS=N/A | THS=N/A | +INFO: [Route 35-416] Intermediate Timing Summary | WNS=96.232 | TNS=0.000 | WHS=N/A | THS=N/A | -Phase 4.1 Global Iteration 0 | Checksum: 2dabfb0b8 +Phase 4.1 Global Iteration 0 | Checksum: 26a9cc7a5 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 -Phase 4 Rip-up And Reroute | Checksum: 2dabfb0b8 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 +Phase 4 Rip-up And Reroute | Checksum: 26a9cc7a5 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 5 Delay and Skew Optimization Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 2dabfb0b8 +Phase 5.1 Delay CleanUp | Checksum: 26a9cc7a5 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 2dabfb0b8 +Phase 5.2 Clock Skew Optimization | Checksum: 26a9cc7a5 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 -Phase 5 Delay and Skew Optimization | Checksum: 2dabfb0b8 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 +Phase 5 Delay and Skew Optimization | Checksum: 26a9cc7a5 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 6 Post Hold Fix Phase 6.1 Hold Fix Iter Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 2ac634823 +Phase 6.1.1 Update Timing | Checksum: 1f1139ae6 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=6.403 | TNS=0.000 | WHS=0.196 | THS=0.000 | +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=96.403 | TNS=0.000 | WHS=0.220 | THS=0.000 | -Phase 6.1 Hold Fix Iter | Checksum: 2ac634823 +Phase 6.1 Hold Fix Iter | Checksum: 1f1139ae6 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 -Phase 6 Post Hold Fix | Checksum: 2ac634823 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 +Phase 6 Post Hold Fix | Checksum: 1f1139ae6 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 7 Route finalize Router Utilization Summary Global Vertical Routing Utilization = 0.0169307 % - Global Horizontal Routing Utilization = 0.00363421 % + Global Horizontal Routing Utilization = 0.00498648 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. @@ -612,44 +612,44 @@ Router Utilization Summary Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 -Phase 7 Route finalize | Checksum: 2ac634823 +Phase 7 Route finalize | Checksum: 1f1139ae6 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 8 Verifying routed nets Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 2ac634823 +Phase 8 Verifying routed nets | Checksum: 1f1139ae6 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 2b2ae55b0 +Phase 9 Depositing Routes | Checksum: 1c67828a1 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=6.403 | TNS=0.000 | WHS=0.196 | THS=0.000 | +INFO: [Route 35-57] Estimated Timing Summary | WNS=96.403 | TNS=0.000 | WHS=0.220 | THS=0.000 | INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 2b2ae55b0 +Phase 10 Post Router Timing | Checksum: 1c67828a1 -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 INFO: [Route 35-16] Router Completed Successfully Phase 11 Post-Route Event Processing -Phase 11 Post-Route Event Processing | Checksum: 16a04e63a +Phase 11 Post-Route Event Processing | Checksum: 21bc1fd4b -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 -Ending Routing Task | Checksum: 16a04e63a +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 +Ending Routing Task | Checksum: 21bc1fd4b -Time (s): cpu = 00:00:38 ; elapsed = 00:00:41 . Memory (MB): peak = 2208.637 ; gain = 184.355 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:33 . Memory (MB): peak = 2207.980 ; gain = 184.758 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation 84 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully -route_design: Time (s): cpu = 00:00:40 ; elapsed = 00:00:42 . Memory (MB): peak = 2208.637 ; gain = 193.465 +route_design: Time (s): cpu = 00:00:39 ; elapsed = 00:00:34 . Memory (MB): peak = 2207.980 ; gain = 193.898 INFO: [runtcl-4] Executing : report_drc -file PmodENC_drc_routed.rpt -pb PmodENC_drc_routed.pb -rpx PmodENC_drc_routed.rpx Command: report_drc -file PmodENC_drc_routed.rpt -pb PmodENC_drc_routed.pb -rpx PmodENC_drc_routed.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. @@ -681,16 +681,16 @@ INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file PmodENC_bu INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 2208.637 ; gain = 0.000 -Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 2208.637 ; gain = 0.000 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2208.637 ; gain = 0.000 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 2207.980 ; gain = 0.000 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 2207.980 ; gain = 0.000 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2207.980 ; gain = 0.000 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.039 . Memory (MB): peak = 2208.637 ; gain = 0.000 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2208.637 ; gain = 0.000 -Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 2208.637 ; gain = 0.000 -Write Physdb Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.068 . Memory (MB): peak = 2208.637 ; gain = 0.000 +Wrote RouteStorage: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 2207.980 ; gain = 0.000 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2207.980 ; gain = 0.000 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 2207.980 ; gain = 0.000 +Write Physdb Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.070 . Memory (MB): peak = 2207.980 ; gain = 0.000 INFO: [Common 17-1381] The checkpoint 'C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1/PmodENC_routed.dcp' has been generated. Command: write_bitstream -force PmodENC.bit Attempting to get a license for feature 'Implementation' and/or device 'xc7z020' @@ -714,5 +714,5 @@ INFO: [Project 1-1876] WebTalk data collection is mandatory when using a ULT dev INFO: [Common 17-83] Releasing license: Implementation 14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. write_bitstream completed successfully -write_bitstream: Time (s): cpu = 00:00:17 ; elapsed = 00:00:21 . Memory (MB): peak = 2597.172 ; gain = 388.535 -INFO: [Common 17-206] Exiting Vivado at Thu May 23 13:29:09 2024... +write_bitstream: Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 2596.441 ; gain = 388.461 +INFO: [Common 17-206] Exiting Vivado at Thu May 23 13:51:24 2024... diff --git a/ENC_demo.runs/impl_1/vivado.jou b/ENC_demo.runs/impl_1/vivado.jou index c9800a5..b2d44da 100644 --- a/ENC_demo.runs/impl_1/vivado.jou +++ b/ENC_demo.runs/impl_1/vivado.jou @@ -3,8 +3,8 @@ # SW Build 4081461 on Thu Dec 14 12:24:51 MST 2023 # IP Build 4126054 on Fri Feb 9 11:39:09 MST 2024 # SharedData Build 4115275 on Tue Jan 30 00:40:57 MST 2024 -# Start of session at: Thu May 23 13:27:16 2024 -# Process ID: 19172 +# Start of session at: Thu May 23 13:49:53 2024 +# Process ID: 8972 # Current directory: C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1 # Command line: vivado.exe -log PmodENC.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source PmodENC.tcl -notrace # Log file: C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1/PmodENC.vdi diff --git a/ENC_demo.runs/impl_1/vivado.pb b/ENC_demo.runs/impl_1/vivado.pb index 99115755e4f7ccc70ff050a766b7157c80fa2257..74e4ce49ff18fc87e356ce829cd9df3b661b7c0f 100644 GIT binary patch delta 13 UcmXRYnBdH6YG`F-GSNc=033(|zyJUM delta 13 UcmXRYnBdH6WNBq!InhG{035Od$N&HU diff --git a/ENC_demo.runs/impl_1/vivado_24352.backup.jou b/ENC_demo.runs/impl_1/vivado_24352.backup.jou deleted file mode 100644 index dac3b2a..0000000 --- a/ENC_demo.runs/impl_1/vivado_24352.backup.jou +++ /dev/null @@ -1,14 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2023.2.2 (64-bit) -# SW Build 4081461 on Thu Dec 14 12:24:51 MST 2023 -# IP Build 4126054 on Fri Feb 9 11:39:09 MST 2024 -# SharedData Build 4115275 on Tue Jan 30 00:40:57 MST 2024 -# Start of session at: Thu May 23 13:06:59 2024 -# Process ID: 24352 -# Current directory: C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1 -# Command line: vivado.exe -log PmodENC.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source PmodENC.tcl -notrace -# Log file: C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1/PmodENC.vdi -# Journal file: C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/impl_1\vivado.jou -# Running On: LAPTOP-DWAYNE, OS: Windows, CPU Frequency: 2112 MHz, CPU Physical cores: 4, Host memory: 25520 MB -#----------------------------------------------------------- -source PmodENC.tcl -notrace diff --git a/ENC_demo.runs/impl_1/write_bitstream.pb b/ENC_demo.runs/impl_1/write_bitstream.pb index f270e32bbfcc764845af2221a239acd5a3f22181..e65ce43d89a0fa8be20e14bd67bc10647a5f8c9f 100644 GIT binary patch delta 48 zcmdlav`J{gYYr7-BQ7ou0|P4{GBg3PjZ7`g^h`_)jX1g3jV&znOw0@?^K&W#02HtZ A+W-In delta 48 zcmdlav`J{gYYr82BQ7ou0|P4{GBO0QjZ7`g^$g98j5xX2jV&znOpQ$^^K&W#02M - + diff --git a/ENC_demo.runs/synth_1/PmodENC.dcp b/ENC_demo.runs/synth_1/PmodENC.dcp index ae384df5a0980550515a220f271562137c963eed..6b3a663674b31cde19d6d0cd9fcc462af48f001c 100644 GIT binary patch delta 15422 zcmaL819+stvNt}l&5dnsY}>Z&WTTBIwzV-gw(X5=+uYdr@1AqNd(OH4@4GWk&pch- zT~$3*)9?G%uj*F}NNEfRP*Da99321vKm*wAn>FphVK(hg6?c4?8aC4?{}3o|W);A6thaK{Kw~OQnCX zNv@@!l0+H%OFn=S+8=gd%3@;lv7+${>=4>YUXcGrDMNW3EI(qyN63`|Nt850!mGP` z5KUCQ214J58ATM(U2hj)p!^#uJ}s||#iM6it&V81pX^nZv5bu#) zKtjR!u-VKlU}Ci3e(pBy@Lh;A=*>CQPbAW}&vX?w?=TB(EIargi8lw7pbQJpEPng2 zI5R)%=5`Q!Z^va=b#cx0e%0{xe*7rTc<}57`A?_#g%n1BiV6Uz76Slqf1E9BbiXwRFZ`nOB7b z{OQtGY=OT-3_C79r&B!3&HcnnNrZSA68l~p=*Fu#OLGW?1ccx1bv?TAyTU{)kjljG z$eDt?4fN>9M9B9z#*dXtraU=$4Q(e@lL2h=A^EwvpfMH)S{C_j3m^qe zx*#Jt113KZ>*rUF%@=d{xW4x*xeMURiATY z-TAPR2Zxz30YizKVh2r*&Tn0_2pO_3NsmN|ms)x4uC>GJqw^&!aOejMo&$2emg*n9 zoZp>t$CEe}oRFmZ{6-?7>=f1gL3NbL>sQHhVy2NqRgwTg-Ye8XrVb@q?sT1flsKR+ zDSxSmgs?nsvRZ0j!^x?Awaqf>G$9GPuLX!u=Cl(w4adb7tQ7E**K84--g1P$G(4IG z=CbfY0{x=U6P4z+Y?r6exc zWW8^oH?Re^#=;wu@aSEIb9b|*Ph$D(>P&v?&-s5MF>^iE9<)-ZHDLyjU1V0XL z@4EvM?jAIJ_sl}mLvs7AyL7+)HUvf;oOJ*8VpKq!f{I99Xg))qkDdcvU7pnJwYN&E z1g!M97pX+0?9MksE%eqcHS{U$c)8STp1BB!(9QZ@-M=+F;YoO_eEalDg2#yU_&#IX zj@P088y-hUt{Qv{)bDAf7~9({%a#CXafH9I%6T(qJ+@%ynwDa{5-QZQ4^2#7zm_Ch zhX=i#q>;qRfS)i57-T;ztQ(x|?b=VFV8)%65o_0 zAJFGslD9hW)M`)vhDk!nC2{T;7UJ=a&;I(x{fjD$^%)H>k4G!Ngr zU@a)4GbQWjTc)5XC6jS+6F-kyPTd^&TZ;`7IMxQ42wQw!I*JG`j+k=DF_KyM2aq?i z%~ffmExrxcnqdu(s=DkrlIDdQqnUtC=zTQ3hUQdb;iNf_z4CruW434{H#RDnQ{--+ zR>q?TzH^_cCMZzlueQH`s7zrk+yc4o%kn)J4CFfnRT-ZS=F7uR7ks(yPxC$RIm!rx z(ybnf9g)%OtYWY3*9@Mn4NA3vpAiysmQ?F02Wh1^giZm=R@HibKSuO04`x-%;vLgh zm{BoVSlA&nA%80NWHPM|{S5NJ={r_Y9k@X05DZuAaSZRMZl>E_!Mw7AQ?-KwZ-TIH zf;biQR}gzM*}JI^>BCy?nY zYeG*CxRdE?sU$NBj4P+}6VruAwCsV{?{$Y84&1?vgDRjWLW0y0B#3JC<3+a)FURIq zyL)}+J5)=Uvi8v>z(1w~!ittRAOBk0 zHc~j{=y|Away15njjgg)EdL4)QaEg0`yo7TIRp0;rgDjXvlgy{WP%hRqg~jcOHZqdx_7i5DEFY5H=WlvN(hni zOFB^kMm?LmZU{D*9z*@Zv5HJR9Y(t5hBuB+oyxSV=BC(;ymq!hJ|LOW^}JSiM>{xK zpq(Z>`Op%zf>*>O7UPsgRr6WpXM(0xA9?TS9Q_~OAfd43B;x6Y6PRcTH4<1T=2#UZ z2HIgz-L`7^W^esKs2OG{#qKnWT(5rhvL6>|QorR$+Vs^7e{EiUL7=NTjAXg6>?ww_ z#!>gOj)U|js*wiUV9S8RnT~67SWPTCVkaPX38qEzHY7<7gc5@a$5Omgb_WsDh{w7@ zhd{r^Va$b2_W&`lGB9i*lM(rb$e4?5Xa=#LXKFuE7xU8-NN0Wmz8F;?pG#wS0Zw&N z2D&V&*n~=OW@^PtHazPY3$DVJ!ay2LZ>KAMT{1IkrieiPYPpJMDd&eozE=`h3Y*Jc z&5Uvw9~b_UxG_2$JywQZE(jz=YN-LbQHa|;7nIb-NlrNw zNuOG|%5x`+xytj^1}TLSht383%oZwg0!kn|-o-A%wHGcEJ`j4n{3dhY+p*Yg>X41d z*0LW>Y^vAeOpdHp>++#r=Gc^No_Mzrs+{TXb<|1)^{^mkAw+EJWUZYXL0$zv#1|Hr3udywGGlD<~;isfQulH5>) z>WT$E*5XEg{~MM^c^_o5+>qa2(r-4shw6+EFLpB@p_Lz7+r=Bt>c&K}Kn~6@G+7bj zGSIK9S^gQsgJ*uLR^g@oVnW8&`jwcPD2bDhRA0?WKMj41m#Z`?&;S?>xEB_%6mkL7 zC3dI|E$=L5XdD)!)*Id;i$8UmgdiHT^&uhy)}MUqt(FE@>_PoAwpUuTj$EP5T51tv zqcYNaCOWb$oKVW}iZY#Y4_=4fa$FC=JOxLL5Pgg-^aOVOyAlY37+X4I-<;%;L z`W_2VK?r)Pw3R-7r-E1wQjt=*+|y?1{#y9U+;n>g<4^iVD%wiY@K$1SCX%$`;T=v; zWne7P*KU^WdM(K4tTd?_AdnEZG(D+?`Vi=-KWT2hB_y}x#dsLllOq~)YzomnG_TJ+ zQ;2ZO9=1BX6Nmt<;DTeQt(xV0j{;hV%{OVB#(9JFa9B(kAk4+QSbvQdD95B(m*-sc{m1Wy+HIm0uE{&9}azhRKk zcoN~FK9|!3b(Cg{_UPDs)p6(@lU^hnKgS!Qi-mF71ePUesozIF$FJnw>WhrJXLK2^4Bbk)KVwuXIQ=i^k%vj|gqpE&`NkM{G=BL=l*Sl~0Key=o43)7l*^-0_=7cbenM6vp(GD$< zB^H7Bm)GPQxu*oJTQQ8zET_#yqmea6Wh;*|;fA$Fe9IbZs-SM$?8$zeZF1y_&8^BX zY`MuFRv;#NbD)Esg%CvesZg4pYY?vNZ4*0vgG&sl<$9KUato3(;>B?q@H$E!Vy2@9 z72|^<0R+-BUn6|^Ts8iH2X?OYQqHtWmjRSm>~g*_C{yVJz|oCak`og+KW~IW^gUp zUgT4uINl%$;#f~SG9*px8ti$vea!l7SN)*7(kZcacw_VkZRCQu4t!vN zB1amF^*e053st;Z`bn$Y7B$(gENM^UjYMG+yoN`nihlNwuWQSrSjC%$HUUiek4cA) ziulWS!Z*|^L*TW=4)X&e6$&ymgUMQ} zNUEYU_M*R%2~M4LJ%|A5b zKQwUSKQu==%VM}V%vB*4Sdk0#ydlB%{l+Y#9^9Io4iL_LnF~1`2lcQt0iL;4eZ)1^ zOzH}7rTB22VqB-5XBiu6!z?Z}zc1HA7<#u50FO zu34_$+0)SZ>b<)s41sSZ7vJMp&k*7V-@>+pucNQtdpK1lJeDy0dMOX;+jE!N0SZ{c9rjPV zDgQL>k&vg3trsb zN0ey=xyx=63!pcU-7^>@6Z4Gz4rom!`s;1+E&7)H5}1I9GEYRtZMY11wcN=RWjhrR zo~#ZrLE(i{iGmLsct)lm7HenTLiaM)fsUJTcB6!Pmz)=-y>mB1+;7z>9w3uk`hL%ob2<_Smf^3AUihzvM{0S&BT}26vEDwNgeXo#j`vWk9IlZ_(_My-tbt99?#iy)nLmnDd%9M$&v>(iKjO zPhqsqBW*s_FLQV3gk!Tzbp&!lN z^&DZ8iI&n7DL-bu2LuD0s!Wbv7@V|Ow}8Npjb}R-FRXZ}1FbvcXxj5ZuYODZwyYu# zUv(SyBUuKTn@nAX{+H`>-nZG-4sO2R!JSit3fw>t|Jh>DnMPh*ZfSMKq3%FdN=ZR+-T(`YUwj#4cDOU{5^-~sl7xz zCA7Cy^&4@p+5hZgWpDSD=auIZ?B63fi3aGTpqliFLFfjc?DmNV=p=u7^)MI~5HNpZ zf3tCXx)q5YIQYQ7k-lV2n>bxDjO^^AG@k10Y@cXIvnT>fpZZra~i#DL@p0C$Mn_3!@)OMZRKKpL^KGv|@^y>JJZ=czBfm;K_ zZ^Ij3$%gp13NKJ_(6^Ya6fKN6pD!aRO)UC9&w;W(X$E{}%3N^Q%I(Tkv!wkLMB4{a z8l4~Cc7X(lvcD{nIJ-NXeV2qm-$=Ai}x!790f+~}o1T**OUCw6uv6ih=Spg-9e!{P5TMdT=g+Oz`h5V9iU8cpN zU5JohTAi=48Fka+V`d77k;nQbW>utqB6ojQ{|y@>x2BXIwg}*@jStRQf%c70rQ1^+ z)FQlvfHs=d8(bx?Qz9~29&{2@sntwcy5BQ%iGeDppUZL%%v<%>29DG)tO@;_GA#Qr z&NNWTMOumzt5|Q>qs@387UXE`f<}wyG|ODfDy>Ede#W*y-C53b8?;zCu5E!{L&cF; zEi(neR=XS;!ED=vJ5=Qzf5E$Wospg8>RY^%XmSR)x3IY|jr?O1Vy{>LkFWYo*6jKn zl`b7~wls-1I0H&wfo?f*2jbwbtK7EqFTucX@!v@5ZN0IbNG=AFpLx?Cv1^#vKw+_M zaQjsklrFz#kTMaALL7BC!m^jsHPWTa^kR}fHjEq5+Sl=IrJXpZssgBqkb`B!p#9TU zsl1g<_j4T@BRYK>%k83i%!H9QZ7`cP*fK-LYV%#LW5)Vq`!Ns`NcW}Vdy2__&~^e@ zy+y@gUO2S&`hx73Q z%C>F%^izUxFzpLE^|)pf`ja@2dG}o%h{9NZt~p-{@?VHC#n~~(aeXH`%cTz4SWagT zO$l3~oxGM7*1_&<)i0k*p-r4d5$^#5s=3gg+GhIa6z1 zeCDoojx64M_~coMj&C4f5;+S6R)uE7`ZIm;esg?j*3g3`cG$u!+vak7$_oWJ{)Vu* zR=rv!YE%r(X7SRfjqX;e)IMM&^lM@G^u9fs8Pc^NEID$cYBg7!2bLpz+O}9HJF?Fn z#d>0Vk)ohRvY=Aw2``!Q%IPzjUzu46|W%km1eGXf1Z(@7QG@k536Fxzfo13_4~hnwxeZz4iiw}MOL z1G_{uB5&Be@`x_D;9Rc^qDLFO!de7peG}6e-n(HeJJ(oAR5pJY=$8HPimt^eVV_GFjo+j*Tqv*D;_u;($Tl zHZ-+DB_U+{?CDgbP|z&8AKN=HoC%)-WXn`uZoy248?I}h26$G#G?1d$_~PmSI56KL4R6|E(K{+Rh3xT?Zs0bp~X)}a> zVnEA7?ZR(hxk5%8F?Ik}>0PQ9A4k){BJItdI2EJ^{Z^`T0cstA-w#XgmNtQ5!n z@;V%3_M_gK4KdcZ%yP+Dhh}5M=!qY#W4T0oPOjVx!vdFY{E7+h+jMrc(-R1TxJ-(# z$(Im9W)BrlH1tryuPA9&4mRJbtX|~~Lrt*>yw8_MQVBsJG<`mSrQHO$duLDYQ350T zN3T%=<4+rJUj_*+y!iwMpOSfd360;h1{pbgj5zPMWtVM)^$* z%@^abh?_(c-C}nDy>-8KkGe+-^tp!^Or3cL5L&z~MaAAOeffP;<8mmz$%RoKqgY!v zXF8x8x-&s(kQ9=DqWOFh`{`>-2QT3pTx3%7a;M@TAV5)%8OZT**XMPN&+=~6aLEDo zAqTG!i&MYj8M~i{m@^)A~FyAID2M{HyNZ|+5PUm*9Qx4jLmgGXiOiKY(u7@=`=Yh`8E#l%`So(&Ws zcUA`kUp)U6+SJHXAnmM3u?hXQW`8&nubb`$=aGa9aPh6@+G2a&& zv1}$7D5|BRkt}>-ee;KaP^P4!LeWL5Vu6{bQIduiNveSgQQRXsZDC?7QkhzqYE3!ug$+vzH9?6-W zOf^a`5N0A}m0&Xq$W~clFJP5ux5v>|IRN%Y#ZzSQv&1M9tiV063XpP2eo#i)q6_eA8#lg+nHOf*zT(+5J+iZFp<~8`WR!7;jWva`Z<7 zHy?)?%2v+Y7cn;htc`|F;Dc%U$EK=)ErS!XoqYrIjD*5``F^3ZotL|_=L-})=IFQ1 zhRP3lyRTB*hBxx$5azVRHo8x49T1@=ZEA2A@i4NIT7eEkNwPYChjO3GXGVbK6%2$a z3=Ev$4FYTBSpWPV!1jm5Yq9qqn7j2)L-;og)7it`#qz)5ZMZ9Qa}*;eL&I%DrqQ*; zKynJOLqWa73UWo@-#cUiFXVwaPym35=0AbZ@Ba;iDwuC*>BOut!N2{svK=8Y+b~~h z^80Y{$2@-K5h)%qju&eWKn@j0?Ll%1DS)D;?rzTM?&^N0+T%cxtJ_I5rFP@8Nzz(W zb)6+Dux-IAZP7QIhxuJ*YXzJEQ9!NuSSyzF`wA_CH^#L6s_7OlmH!j(>eNgIJlLskE7x`XwM>D<875)_Z`Ho~UeF{{rCxYuUcy zhamQcIQVi6XiJ?1v}Rc3utbUWv=-wvd1qgB`YiMZnJLB_s^e0zvT=0Q1X*6?O7Z6X zIHVIMUp&tCxliUw6fiF>jY{^3EU~ARIV5=IP!~c^+3*Dy@CzD?b@2hCcR2z`vA z%J%SSu`vTQ{h6!ZXLhBPq$)HnZ=wX$G30jGYkERg*h4)OfM%HF*DRK2BStDjKi$yo zXUuSNe?khS*hQCO_l4fO?kKoqvb^NLZZgNRraqdz?fPz@5y;H4A9>*dOGq@>ujM1R3gnDDFpDl#pbQnLq6xVL@y!EC>|s|8nP&-P^^ zKs&+?!5dyG>e?yQEbCDs<~GQXM8qg2cIE14?JxpHimFb@{LWidgg^H8@xuDs`=zZ^ zFp_FK`n@cLHS&}XAGDvCN=cXQ`OV8^+~?nbL_?B1Ex%L0gtcEN!-xoTG`5B3IniN( zy{Sg<>u}brCEuqMac;H~DS>kg?iYa}Q3 zqTM58@(#2rR{M#h5*r|i2#$0x-e?tP6tB9vpl(#Fc}!OJ3!EDLrPiGUk*5s}@)TGD z3~k79yZs8=NtA(#EY|xiW8Mlxvd|x9jLK|IeTjCPSOV`yzw}bHnrJ0=mG}}gq#h)v z)R*ZS5v_!L0@avrKgNIblOqH$J)Vwkj1USb7;4>|B8*}&(7fgAe?&L{+$qxyMHj%Fyea}hEb$h~l@2ctsEN!p-z&-LPAro8&D>Zp?Mm}C)ozYr&$Jldukr`wK8_j3357t4zfgN`7 zbX$F&b$f&P8e!!_s-!2N-TLp?{9@j3^1^wGrNkwh`Pi1i1q1|JvD=q zLduUa=ugI^X6F))E0^jcQ4EZDz!X|%J|BAH^k`VxYdO67r+~zRjGK*JEm!o}kd&57 z%gj!)abXDol_qQFb8qlC5GYj*GwEcz+~Vp`jq9^}J}ZvL-!45EH!%VkTujH9Rk{@C zttQZ&8d8UGP4l_mRy(!WQd1AgHZ(zPRodR|>rWD!*`l&AR zWW7eqpAuh!t8ijPPnmOYZby?)JF%D;c*`M^M+`f5acb#kUN271vL!z+r2 zUJI_8F#nO|a;NBKotx6lppQbgZEAQ(uO4Mp2u-hpj`ka(m6!FkKF7~)iX};LY3{3% zulEK6YKZ}e9N)pphwew=Asg(H_>ajK!xdnpo3U}t?3f%IDQXaQE^wWIo;@7EN#pL4 ze!z*~lOmz0_rSYi5m7;$IT*%3a!O?o(0rbKsmIX_(4kF%JaoqIBG5E;!(if0Yj1#R z8k_3IGt**(=ukXjP&2X;&V!eWy9%Sq(MB(T3%S@f59F$azj`()(?Or&FbV@$n}Q;N zx|*QdX!2K&dukI>0d3f>5Q)4KFp)kp=%FO=&+rV1ek9DozJ9DCf``6i{d(hOEDh1xV&q-v_zE;J|4@lt~7dzRb#B9EAP2i zSS!wJt@ozu8}eJLgwsV}HV75N7Y@R2#KWl;C(ETqrI*Mhfg8w@mIaJQjwS1J9=7Wn zYII2Q0$hzD2lt&OCcW7*-WvPr8le)`ov`J8!;QrctF;i_eZ6PHK9|`U;O>ia+K(uu zzEq**lynoXV^&H%gPED@Za_Leb!Vp%b?iMttH(&p%5j4Pn!<&WFUCoFhA(Ht;V|T9 zW~^FIR`spCzzP_sOV28Wi8E^-3l%G`Kp1`O1J&?n~*A(JtP5(cJQcs6Ji`VX_0n-Q=& z72<7TGVvtqx#nKBYLSq!1ehNvAT71vV+g0aYx~4#!092BUPNXekqRhHt1^&ftWB_3 zsZOm*NXa~snO%4cz`0lhOMdjvZEbG6~?jhZU%yn$++0Yi}s*+&K)^J`Zt?f?eL z9FQ?{!?FNSNS6(eoraEH`?AKizBB4<5sF^Wf+9r*TzOA=ABAS^-tSLF*A2x1r7Xy! zyr-1y_5k- z@hcd-;WuB%{gC>daUI$2Haj@zwO}%mIleg{0U3Z+YJ^)CIu><{;TufG;MdV^@(Oe8 z{ELXYdAY!enI|VUe)jf1of9ivH#3?BJtu8 zQxLg6@hJxg5y8%l=iMm3#z|Lkoh%A$cv*%M$d1UIhi|RxV{WkSEMeh9^+3NabBs4n zmX#soD8WjdHmD>FI0-xRsRfo*1Bk?Q(Mb2CpE2%TSfvU->6PErYMg-x?f}Y%WB~ek0 zEwd?7Kh?YW^s&eYz(%{ARg{|HB-g$g5;lU#@lc`O(ipDv|8u`0ts>(1K}t-_3Itos zg|-ViYs;S=M(~mtx^JNfex(x^H`xo}ZCkRqZf3z{1BFu*qnNI4YNXw7#S&cUW^t|( zeQR5U@z_U@pI96=LXp}@l;_;cjIUJJMaH$%{2Ua65e<$dN=zCe@!qeyi{1k6Jj^?< z79|Z+^%%5Yth1$RGW*c-VmO4_IA zYcVcpQl5>i*S&O8HDvh?+jun^Q#sV@$x$b;vV4ENp-u8+LuN5{>wih5*r&5{ANiGv zu%=lTYK|RUNq1o!Mk*m)1>^%V2{QmzEPooqjQGN>{K6Hm^*U)5PDSu>SdTDEY2>lm zN)8j4BhVCa1k&u*R(>-Nj=+T7W_sH2f&X+Rq0w=g(H&kpxnXL zW;iZmIh#Te7NC>xF500Tq|U-Jk*-M{03#wmDUJlbJKNi*N10=Io*Wdz~prRo!$W)vs;WM2Eesxy zqlF|fbOAKH-#Wrc$t>3;kPCjWH(2m^FMEUhbtk41AWOZu)LeIa`tp3QEA+}K;4@|7 zUT(p$1)sLtGk+jGn4;T%SHf8sP3c=^5fEQ3Z6xPfa@cDX1;n5{-<5DJY9AI>fW6E#{DO_*_)a|J&Z3 z@!b)Z*e7>!yZTk%(^evixF8!ug33iq4j0;#{&`*+1Us2u>R#pA3L2&|dwZ47sm}ZU zsAwDUG8La2%hH`9?mW0k)NtL1)#Bz%DRbMLk`sBeJ@DYIv=h4+oYvd?#M=JMX3Sf> z_%Q!wcHC04*B)K^aNkj-yyC+zL}lEJcOG>OZ4}Y7CCPJ7Up=6oX4HX9kWIVz#JAN2C!>NrvRD5lb)>mrz29B5G%hmM9BVZm&GRRH!qf7RoE#>bC)x+3)Hv=GR%Br zN0vmw1~6XQMx(rm`>`$wP9dWkJGJ+ENcLE-7&d9zS+-aWmu%lDyH-Cf+yet6JGa~c zp*iR&j8`o}p+`SQ*3R$X*Sk5^dClrleCu-zuSGnp_Xv%kIJR zIDzf*7Y645m`5L~FSYA$aT+yIaKFs7c+H1s3eL({Y>3;HB-LJ`u86k|B?H7LZ_I*S zx>&)ud>lFYl%soRwOKOf$Rl>PQI|Rw(Sa!J--G3tHnwQotNO_(4R|_n^jb2z( zrsnX?{!+%1J7lMj8z9!a4?cGn4KQR>pZ%|HXM-W zOS4bZU2K+N_IrW)$|ts#B`Ys3k6^P;lF)r<$`n6RQtL6n;IuobZ#={rhAD%pF%b>0qpo`kg7-2P2h8&B~fHfqNgZ_CX)^ zbZc$WX*$G5q^Gu1rZWX6`XB`Rhy*a))PYfOT*Os~Zw5rwr{JpGEtOs~V+kv;fzfC& z$gGFtJHJSM&C@~L6dZ|NMnCqUw&s^3enQSA{TtlseI_`t2t6R~TIdj8g{(yxyQLM2eim#tw?7i$L|v(!AjJVk`Kb3j zvI>H3;h4L=3Duq)#3sGi+-ApBMqgeOtuwT^dz}ueMo0wk4hAUWrw!?>Wkz0Piw~Rp zaLQjT>z7o`r#dNBWH9V zCs(kvu?gBT16mRsvky$_leYqw_1Sw5QSc)aM}9F&{Imi}STzF_WOB|zNLvN!v zfomIgHetD9&}ZSo1J+zd>tJbLU=w@#t^UUuS&>J+>ZQmy3U(RO7r~#wrz&f^D|m3Z zVg(~}{)DAsuXx!;e4R6ohA4|*ENqb5j1)qy zRlxwO%jyRxdlbTE4Dw8!ugT~U$x%ffN#x7J3C^rq{jmLr+FjsQr8n@_ zEk{^ts95s&R$DGk7cBrD6LKeEM5j{OI3f?0MR>flwg7bLuMd5}PjQ{&4T6`n=fYgy zkQI8@lEjV+67hJysrz^VT_DK5V6_WaMVPP} zqgLW%k5PxDV-Y)4kw8?1$7E^M`ZdbbF6IW(BWgoKJ8N)$c^P!O%1(dbbI>czk+m~_4k5aTX! zC-Q*f#A@q0#zf$u3ht3m2^nZgR*8d?fKI2j!w+a#kinUiSTy`*X?A?W<*VJj zg?7R{_>CzV{-@lm1~skH6Yu~p_*2-kq4Nl~-7+uhHP|70GKLuCHSmp;rq=r0a=pJk z&lu;q>$%Ixl<20?_i*~j z=#X&`%QEF)j_O~E1{`aiKZ`%9mL;A+6l!CSrEqmcBrUaP1m0Ka0B!6m!$WN{nc8wr zSwms-JY~Lmx92A9eo$v>NPQLP)p^99YRq29P1on=#-c;#6L{D2{iOqDX_`kFS4TIQ z*v((Oh}d8lW)0rN_yYZP>%k0g;sfFmVmP^H-pZdocxrtt%Qr_uw(HnGa;bUR`5il` z0h%rMxA%>|JEg1IG!TU0M+>%Tav*)bs@YT9!$s)<9WxBu{dv5R=@7!;J$5>ilta_0 zn<@ff{?o@~juMmsk?U~7nu4xTZ7XSQ%ih|wy-H=P^T!aRfqqB^Ct87r*9Ufcu>a{G z65R-mh2M^jPCvp%Yd+{pUM++K3bymNOzeMi2U8so%hej>uZ886XE1`2CT z=mT5N=o-ls7~yZ^E^*COl}%ELxryWaP4-F!R!rfGc#sCO3RVv({3>D?F{-vv-{C3b&aeXjjKmCZ= z=|t{BWGo!`R7gZYu&C5{?2ZE1f?zFmO)f(0p*M5}y=EA^%d~`uZ;%2@umls9+5}V} zg}{ZdLzTRddq!Blnp`-%AV~!eE?rTYLU?W(3dF?hQZd^$jQFCAiaW0h$jDD+?o@o5 z@PcYNPd>5TktEg#V1Xbf4ht{DP%tvT=;J8=u>r^8w!Q=-XPkS`U#G1=&@z6rB9VDPGvHD{E#NRqU3io74FO7Ep z;nVRb^0_6Qs;(gMm5ubI(d#F^3}4d~;gzne;p$=j_1HZ`lcMmv0(RmoP`k0+CUL{k zs&}r?11zZ*F9L25$&naZj;y7jl-&MCLRjZ>bgF)nFjxDu!Epj9-4wKc2kq4KPut$%Y9 z`$Qrk^1o?P5<#iLdG!{z@}+gaJ0IH{?^{Id@8|1T%eUlb3x z_HXl@OpX4R>Hn4P1q%S|WB*0O{;Aeq)tdY*asDOM+0w|-?0@C+Z^#<(e=w3H|2Ibe z1lsQ%Fss5#oyZ!&VsefH7 z005N#V8WC5DfY$a|8BGYI#K>u-|SEQEb@P?`=_l9`TtwE!5`BwSUWrXZz?2uh~r5= z3;t`3vx}=SgWLbAG@+2L8Q~vkpnnwjKT7{oICJ8b_!rrKDGdSn*V6ER-nw7_K)471 zzyJ_qRbmpC7V~hnchIzRcXg3aH+9jH_ViS-VP|($u#k3>HdR+MHy6`jWievq`~Lu7 Cx~DY& delta 15513 zcmaL819WD~)-If+W81cE+qP}n={L5WbZpzUyW@0h+s5s^&;9N>``-UQ>m4=Ls9Ck1 znpHJdt$OFIr#u#TC>9u?DDw>z6$l6j63E!5Rda4Twtp8G2nZew2nYfQ3dq#Nk>1nJ zR!tQQ=o=KJf_ZncUQ#9_YH00Q?U>`D{up@bVr=2%bv_MV54fX;&#-8?jkY8%r25Ch zFxxNnEcdokyI*g-NBi+c?`;gub%SPNnAwt%u*IWR4z~7=uP&1S$b;<{Wfe52w%`V5 zVj-*5H&*u478A0~IW}{mYsCPHMDSkfRl$Ty`G=P|r^KiDGI55!GN~M3q;JuIo+{s9 zN(E>A3u0C6?(={+A_Jfo-x|pw{cNm825w~CDNPJLDkdwc0;j)K_Y%KHK^7)P?epW| z=R?oG%LUZ|GN4ER`e~d4X$zx5#)oAUlHTyxuqi79Ezw;GxGM6N)TLFJcnCC00`PCt zxOP8Kaj6MV;e4Go@9ISsrmo5izFeC0>BN;?t*3Z^JYx#EmJk z9ESXXDHk>aE^)n&U#sitY~KyY=w00VcG)2pL2b>+&x%eowelYn7EecTT)1=7Pvo-& zU-Kt9Ic~aPl#DoX4%yOY$J=*V{+w+%{ExZtt2c&HmFWb$jDT>6-`SJj26Q;1$(`J< zzw_fvAspT~vxgt%doX6MkFqF}6LEDJ=N6S-5nP6<(gFKF@dB;R%5`PI7i540e(@r5 z&kONR0dv0bOetFw{?GzE?ytj1?0nd=V$q2sAl*<{ETWWKhy`_8@y0sjW_6!9JEQzu zoqd{3MkS8z#|4Bg^JB+lH%|X{``+f+5toIKt$%deG;Blki#-xQ+o}mO?hxktNRfB; zS>t@=nP}u#Oi7gGW4Azf);+S5UO|@2av=Dh8X{>3% z5svtB>@iSqW;RiBE<*rlMd!ujFZA*r#=MS@Jb5v0?+ieT{ys7i@H0^jrfotl$3Y~J z7=iZ%9rHb~38dhC@!~3RfdUyK631-|q?H{@%(kKXQw(sTlWnvNWSo65o zaDl(BF@XTg%Ch2efW`=f423Vl+*+26@k0-6Y*4Om#HMH2Wld8VdB%;;{F!t)S%9pE zPo$jeM{c5Q<6CZrak~%mZY8wi$+%~_*j7MP_owX=MewfzD*!UrlW8V)!-)1ce6P2} zW$Q%G-c@a;KHOngyp(5G)adL_=g;ZcYXPzNFEW7J<#3!zV1uy04i~(b8$wMLf~LHB zt=prA%FGh%AwP3?#JOuf#p(N;CAYzSgag;;jWbfLaNOH6?Q2de|7q4eNN!G?7fK$+ zCG`B4UwGR5=82oUE8lXri{5XSI|76vROO|+v#^*@O=-^L;FaAjZOt{Uad%NUzl z(PqHmB0{rt)_Y{CRPwD;ao|JB?P;gShIlIswX@r1H#~rZTZ0#`CdJ!A$1g8{vp{U5d=OV`R*rcuYU){hO7*uzNxRQ)%fMHwaxeVS^2i0%m@=rP(opyG z7<&}^M{W0%E$OL|xY;*hSG$YjIdROH?VW0)b?**E<01 z>5`b+nfR%FS-Y)EUROl{L&fo#>biFw=mU+-Kt-8>TIp8kYSWU6fz%K3Abw``UD(;A zTG|6(mixrPK4f_L#Xs718OZY)s7`+!EL29GssXqjK$N<-(^P87D>UBz$f8acx5~Uh ztx8sM2ZUssiune9I{b`mc(E<;hF{@bSE^~0$_}Ih&?(&giswp{OA^cUQAnHFUBR1Tg5W68slTt z*{h?cbPXx~$_FPe*Stnj04ahNa$*=Q)VN4$@IH}@@NGO9k=J-)`0(6em{v?p0g6LV z7+?7o&{`}0LIfNoZ%2ht^#~$pGVzb$R~V*3F@|jt`F52t8yazYzohb2kX{0Yqav@w zS*MS&kCAETy0_2+t;k3Mawuxel@<`5C116U+tRQDSF@KAP?~9Wz=}zTa2PZV096y2 zMh>4$)9Z8$p9Zx>Rr6)AJyFw&oU(u7PViAPKfZimA~!WO-EL80AEiuU9T?E z*NO=Ly+JJ^^#*|eAUa7Z^kJ^Uvqj~dSPw=KP;pvY;Dl|p9DnrHG1S`F`YK!hqhJ}MrmzTm9P4j8JQn0}uM zs^XAMmp`%PA((HmU%*ZxE!;3ym%p)p3R-+vVmsL+cj9S1AiWqxlO>irpu)cyC9kLw z+7Lx(McT6}srV+IQ09yeS$0vgBbv3P$q8$?P+TTWh%NcN(8?u`4VXVUx)8pE+W)m{ zLNr}Mm^?9bft_5Lm%f=530#w0Z=QGoJfuj?weY8kSZlMNVjL_g7$ZRtEcH(wTi6Ou zL0c=uWRW@`Kwkb-{>V2{s~Cu~v9(I5%MJmYK@i zyq!v6^_TmODt(Xo%VV?QmM6;w`%^7gvp5QU8iA7^fW00Nvw_qjHnDg^_5%UWfSiS> zv9kxiBJu|r)09~T_2u*WFxhPjtBG-l$t2elZts+fW}HASFyf~lY>H0; zk$sep>3F)AygKLMYir_ac{I4hOs>Wrbgwp|?=Nd4K40%^-|WR}l7c)6cQ^|qE;~OR zCB-&8Oo&gBW?ya(!!L*ZI37>H(pTiFI9)#geRR$4e-nYL*UB6vddhK7YR+1mU%nZH<<+>l~Lb?Vp41F6o~H|0{LvqF{R zwMcdCJWeOet!GhHy4}HPCE2flqb+!QqtQY;@4fvj(ZiT-g95b=wAeGx{!Ns;Gs4Zw zY;O(1(QL6B8VxyV8aBZe4d}=-)GN*F?Fl4>?AswwjqTwq4}QQ7bdE_=mp@x1du>VV zVg=LBd+P5Fz*$}Zz5sbvtui+0 z^f^!?KxB7Ss&0A&uK1=mLrzB?a4MK)1#a$Ucvct9!MNuWB4;H=#Z-jG7DH5xNp?Gd zR|Y@DIz8DB9rDy6;~%6;h9p28Wv;R#W_yydKL z^aJ*UG2^J`!3VY&K!?g*QnbPTk`1#Pn(kFO#&n13ZZ#F(j+%~kF^k9&C(UJ^6G0z? zb&CaNF2>u`pJglflkvy7KuQ6Qzc5UPHaIInCzoZ;{6bKw1S)r2=suA{;NR=G;+;l( z*>QlaJrNG!K$RK*@Zd z_^ZE`fTT;v1gWVJxg1vxmXp7<3xexaU8xs*#A{WDDyv*@_*YB~Ybl zxc2*cg|+im_Fn7u3HB^Y9NtFe1%qL!DJla*Gi3Ewy9@75x=oWlLQL?Q>4h`dyX!W= zs+;|V@tc824bBafqVn-8;wAW0iQ>G=21ukeF`r8nsc_Gb}@?(tOod zxf#yGjF;w7qjr~v;MDW8xCAikQAh`g@)dp44$J3KObf_l*#`+d6w%wbHkt|5?fVQN z1Oe#>lYXj3Oi|ndEu;MbJ%?4iSqBe@_Ey0V+>lQg7jnYsfE~#VnOyG86BQ(Ei?%z0 zHxmA7qzNG&W5p^g2i?xH|EahiaLVBdjKeY4p8Z!%5Hsu#-Rs-@AF*0PHtc)o^|&~l z;TTPO7$;QVCFHC;Da^KtXwVIG8O9sVLOgoEZS(P1Sy&e2XL)U#3;E=QcmR5}CVym6 zObhZz!GC1^^?BL!g4&i4KPh3GRf6%qT_pZ?4+VDU`+f_)9na9rVb`riWd3RzSgMAl=cbVXkK&SQCH*;uE zzIJ%J?`h3c`F`s5gFWa55ZAmVG*`tTihW1&9cGwg;O;4=!k{QfX!&r`C>`C9D@J+G zlGB6w9w1qM_q$5QEq^(1i%fv7v0R=kAy+1ivR+8Jd5Ah?ic!Z7FO?rN+8 zJ^gZ)!KJ)ZvrN`v8LL}h2OO*bX7J6In=)y8{=vlojQ=8!?AcXoRVP59(*}xP5AvpC zC#sfQVLR%I;`bn6rG%zO*%z!Q;;I+@IpgZ+TF&=NYiHhwsfRD{dnUEiw9YUEPF>cG zE$Ehnpa5I@S7g==;A7<&4ct+?s&(e8JRcG1PUnu}S6bVb6t}2iQ2epK5l)}U(*R=w z9}Ky=HX7@XbE_tCS4!BLBwjSWmW-W-V}(0qTicpR38@*4P-5$ld5JLC(g$oPca%&W zV@NkHGDIU}CpbiHg^m?D%Rqmkg#&QGU@1j5LCf_|7)UE|z#kFWH^JcX^nRfH2Nq*@ z6m$kq33H69?hLk)h2r^q;F@~WaOaqq_e}bCp&valpBa^rWb>n+!F?OV-nkGWlZ6SZI9KL&|(OK8MJvZDNcizmQt7Yw&D=kQo>heh+vQCA~$ zo_n|PM-jlF!(u1+FUC3U@h!{Q5G% z>(=M5O~M@60;&v6eeo?Qbda(3*zB{;F{@eMuNQ#FQS0o5o+x>W#jFTxO&!h^T;a>H zd*3tW*BR_!mMEB6jV(X#u>f`CVXL?B(8J>V% z&KI3+F0`1o1Vrx9tnGJ44&QSDyr#YWL~C{dPfWi1u$z9jqg(%N=&)H*{p$N31(#zh zJpn?97(iza59Stl&6`DB)YaAb)jPs}I&zYXP)R_bD3d}_4M2=-l8#V`|Ku8B&@8~9 z{__0ElKg@wOY*_S1N`OZOVPB7)>%Y*eDHohw=gi6z7>XIlOePt!tx;>S4`b?J0F=e z^cj$)?1CQ`EP<683$AvB0@XfFg#re#Q&alPyM-xFRyS5EDv469C`qwgj445@SP)<( zoK-DQ_POMH`sp(*YK=_x$hF1ybpEuCvt@c>erw=%pr;eV-Ch$19u(y4+F&~p z^85Z3R-!ARqnS@|_^u8*k{f!+yhE=yw-f*S@0UT*4&`%<3+dMS>eUvRMJf~!;!V1i zbG*(&3=bDW05MnL^2-6<9S?>qWTa;?huowUWQ1s;N&`-|bhB2LjF2W~q=qdvv3I-1 zg?dFdtEgCk2&+An84>whC9j_au&DMtJ-Wxiy$3pMGD%}>mVdBhf)$1|@qRcPk4lU) zxU3PkGW59c#A5LSjAT?Y!34-tn~V(zGv#4h2~j{iK>1wKjF3ha4#^oGHX^~fUV~n} z0xi2{vDj!jaj)ZbVgQnxTW<)jI77RbW3hIc+(^}bpyYK-6XbgBlCaMxM)EY}^??PM zQ-PGNnw0sPNH*jVpN*ZHJd0ts!8CHRPh0mlJ!SA9SlK*;uO?|XGSwKA3ER6eHhnT* zUg2>vK-m$A-e)<=ZL|yzgg)VbdS&e_+gwaat4{8I~T|3P5qcXjCOx%GQW zU805_d17BscE}x+nngtYZ#cu(d2QNQAxsHw0Fp*LU(8$Lz0s6ckcua&Iwm%d5vC>f z(DIJL^(%G>L*a1fnwK3`TV*Zlm8R6tpOIHqIfLl54UL-_Cobu#Kv0B;VKic(0U4{5 zzPhGlv#mPA`n{`*+~V8RM37f(QEL_0zxo!Jl{&meO-;79}biw&| z01~%aZSv|>_GxFs?wzYm_3~Pj9Y-oW)Ok(bm>NM;m4@fagAF0#whNOliczx;Kr?3@q|#1gn5fct&d)1=`<;9wHaM4ATmE3E$?2?(vbi@jD&)wAmurD~*$%z}Y?Y-#XJ4K7w zSag0w4-zZs>|SFP)I|4R5HFmuqtuZa9~?&W(&1hzP8^`=Si47lV4kBBbr~krNdOhR z{A_&DM}DkfPXFM+EAgj!!!-xV0GNekh?@5rkHARx>s7*1x2+i!1^VEMQ@8y#BKHAb zrznWDBHL-ime`PLGhq5bk-R%y&0O|;2d~n1VW7Ciq0oeUErvwm%nSyR?rpdalhh^v zhTy4L^%^vU&OY9z;y5$7dnz(yKQWmn>zSV44S&J5BQr#$1#e(ZECkV}2B7u0G4=@_ z=O?p=#2+q2Xa;F!ON4rcMp5FH1?ibs QKcg6RO*?C{GsEs1Yw|+W%=2L6kK7%dI zbHQNaIjFu>ze*P0#*sB0i-za{f@i7+AI7nK3F_Rf8xU=a5(J0e`&Jc9wANt+>lqy( z31t9rL5pidtakphd2-_v70^Ald(CN|P0vw5d(&mT0Mof+g^W@4vHD?LkZOo=?;%Jq5LrLz zOr`?1z*LTb2mz|eUVvfONUkaGq{E>be}5dyC&|d@GXvJuZJFz#`X*PB8eV?G#IHH( zLvCmX-mePPIb7n|$G=C|azZVYL+c&y(j!8i^+iGWiK=ro%ilS2pmd!NOAh=(3Y>Gvya zsNBkgwpwzAx0tRIY_CI)nuO!_5}KXLaTXLU~ zv*_1WJ`<%EArQO?{O;_l#5L@?;RB7Mi!m-zvOegbhk)nkGp36@Lg@!(N;Tzt)pnue zihRWil<|rV*n$N$=;H4xln=iNrQL{ZDvU`hsaeC7b10!xgl>h*Y!QohWOK^v<-8Zu z9}CCeB4>F?H5Q9hDdofkBA@Q_Fy$aZ=GGM{e?kdFYHa6W!bw4k$0$H6#mER?2Vdu5 zZg@i%zX9Ukm7a15;Dk*Tqsb}Egnz1r%)ves3WdW*&eDnXm%T+Px*5F85)SbbmXPDU z6q*x)6`JP|q|_n{5HdaB0{LpZf4Dv13TC)L7n}yGmJmcT=ngH+_wNqPHq|qG%VmqoAW9rxv_Yw1E;bl$*Ej5fJd!p2q65bzZ=|}6>)0j}8GCr59agqTRRh8f^@{UG}5Oq>H z&Di*EC`I;TnV8qbePPv+5{@ifEXH+f4l1FG zAPA#yFJ~LioEDJtYmhtfMxu*=3?1G?c4V2T)?|#-)Z|!7Ors2bd{GbwZB%mOtgwjm zZ6soLDkCk7U!M^Fx$AA!1n%-YP0}XANBV1D3v+F5j$~wLxNR6dzL^w3M*huQ94@JW zOcC<0J#ZY4#Y_@3Iqu(E;0>({`!z<`_ukTax~Sv__G&xew?+`0x}z<;Y%;L~<4Qu& z`~>iDy4%=N1Z8Ewl>opG@Rl&@K#XlRN->dnaa16LzG6J9MS*2D+9=t7B`2Oet8N-s z3Gazw^svRRMUEN77{-L~?Gt~Jo>?Y7UF38~p2G5~P~V6f;BoqLfcb)Zh<{!wo=wyh z_ZZ|iL3D3|py%`bvS}CIy{fI8pwm_7s`w~VMW_T$&Kv(K@RxzHgSLhBvyOVE^mllz z!gdW5p-yX+!Oe!vh3nQT&WCq9Yk5Avj|!FPt&+=yyVi2vhxcl01vyZQ8-jJ9y! z;0m;$2W0(Sn)gU5xa~M$^?nWz4rIm46;@3=*Q@v?pLW^0HGT>jEM;X2|-=X53tAjfY@D$M`C3$iR6EM`VMI0V_icI$S+&fA$3C-{l>1-JEK| z--t{tS*U?@w|Q@|Twz)ja~6sEATi0d?NpAnXC)P3Sn=ueG@X6@UdMow>3^85iNkE+ zdJ&b~QCoZcIHSNkH*Sov@A7l1o|1i1sV3xK=3nz;qvj+|e)6WZxqHs&s->*AC8Ah{ znGLsC05nF!>c)0&Z0m%P8Z|zxxNS6NtQ;)$QKFmMn)zj)w;X}CzZqChH%<&O=blip zGCw<>Gwm;ScXaL@>!s*lsoCv|mecD$%2k0Z?dp(x8$Ju35f94tJ!9HllN;uGQjRbJ z#c%gdNkI2jv% zOYx-j`wQdBfvk`U?yfEP{{MPAY>Py?;ZXh@|M-)j|0lSgL`Vhw*Iz|is$78TUR=u~ zSHmQYK~cyoLMV-+f=NQ2Mlp2W+QV$9=OBRu95R*(aZdA@mxy)#L~5>ML(_{qxYl!r zY{=+>8`mtjMH>jHFK{>|D)?u7@Y=Ibetr}13+5W9V@Qj0zASTdO)AvvuS6bm#5D$3 zq{%kjpOi`iWM6rLFRDSh@gM*}(Javf0B+JvAh)@x6!h4yr2k*1k8UT}BtU@IA(*7!XX7AcrD zpBy!4z-10}?xW<=vc+sQ(2+u!O1|bc4~YYlkmURKp;}%wC)-fJGr^=in=y#v8E%)8 zq*<`3j}-SwCg51qY7~H1K6;g+MfGinfU=4Q43NniVkQEvwfxi!rwNLWq5`^`HK<>W znJTxOG|Gcatu#uWhjIASr-nh4-zcUTS$((Y$VN!sY1(tlgQU~XhF;%o>UI^E=mvO)3Xv(_ri^wvTknsjIGbMY&q3fRKotNKaUG-3fAwjx)-pT8 zjlRqemPBwuBeLDVh+PiSyKy4s_noGY_8C#_j> z*;1c2Nm_sbiyUCYg(EE>qN>reAfz1q?Y{XH!Y5$kd+Y1bBBAl4&II#gKoR)1iFQ5{ zV@OT(WDhneStNaszEDM%Or7`3Ip5H4?^&6F20gynx%%^qy6(k3b<5V85b0H`M9brX zU+7^Q7MU%xn@9GH3K(P zZwZtb8wf4Yj)>4%t+Y=Mi`aDnv!{vEg4X>FgCYJ`MU47qBKa@%6fTEy9(=kb0XRkf zFMAx39{m$|*i;sXN;YzYk65FC9q{nxY(iP7WZ*l*${*-g4Lc=(8;^wHX2Us)>1#QL5K;>fah58MHy)E!F?6bNC|l7;pvZB+GZ^onRd z{r66-*EyIw`Q)z7R264t2JvxxP(7!4!nCdg!wX`G+xIGu^R}r3KJAxFhrJYQW7k$J z_FTIenIpmPHR@Xu$air9^%3}Y*TDwo0&uoX@(Jhr4&}v7*IdH!0NoR|p^1DW4^QX# zQ;vZ7207(`jpGhu^pjMS9Sv?6hWPif#TkZGw(lhY%|{@>$MCG^h^QT*=%<9Fy{`U< zKw|lD&vXb#fXQ9vPQ{ra^Sia`^~A3OiA~Z@mS0*q$$nPIM4%`V7&e~EQ+>s%*fH@^ zNbu5JM~bY$j)KoY_tsEVww}B1bh4&_ypw=y7Y&Nup~9krO`o4*)T+C7I>#iQ%gq(> z)&Nv;=vI4jvyi9la*6F$5D|`BADV9OV^D}rszIVn{s=0^r$XOo85NOa`#k?Z} zGp!FSaI1!8mxN}=v)(X5{MSRBgP=QXAPb3c$DjwmeWfd!ydQa=oZMGDVYR;9T7dwO z#jEO8O0HT|F$FP5?9_CB;i;S!*K}L!eyo%e9gg~*7mKXzwk1=gktHg3NSsN#m00;B z%1w!E`^7m{Ba?VOkqo-LhC{Vq^qdTSyW-MB(6&FH_n*yQ@et0dj1vi}ky!D=?KH6R zN`7X-wlYU@q;sn@;HvN((xoi!*T4W&^#R5w)d`o&eINr=!x97Pp9*G*!1}+`CpzuO zkyi=?jh$oF2_m;Y@aUj#^b=%Cfvcy&%`_>Un^j3sPIYVlwbsy4w{DA!^l&Mz0H<0SYthQ06U|)P#X`GN+u8Lf3dH9)CvDc z@a1d$JmxmAhfA;qw}c~|9#ud*oQT;uq>5Y6srvPpS~TyW3%l;Eu|vXs&sJUP(Z9^% zw&dbU*cI22xVkvX*M*NyWF83cmekG4K!I-kCd-+75V0wgPXpCFZ{Mt~L}Gu%pZ#Gp zQo`9URpKbsNr^|t`e+F(_M4?`9cvPHS_+pia8hOr4K4y=KvUW6`O&s-Lvj!Qi5Q=o zKby;CKU$t;;nvmK~(785}9>tpC=9k(4_nM*2JDVAcqnv$bf3Jxp zbvTvjpCX*q#UpX445$6DSmSiTSJmwNO4{jI{esV^0wz_OKyjoqY+oQooqGQzg&}`Y zU!8tD6&>9?mS~SDqN)X0{L=9{T*>lJQpY&>maO1xqMkM*v^rpz{9IV;kthCSi2seL z9fLK@KRRe-^K>xt*v>7GvR!NJ-4D!okiDv=9(UerlL|78J~uzb~52OjZY6TvyKHLx2(6 zi_4ttu`wy<$Vn&nvw+Oaffr1VYuTlednOaznK8JnF!y8d_YBj3PU-mR@e2H;#Zb=a z$BIoI1_@@XCWmY3p%L^3rNy*=+z%vNvwTufzru0y=U6ix=6YOCKsB%3>{eY%?j5C-bgm2?Ol1?NQ z17?8FaMd?{x6r{!cNtjk>YaK!Nc<&+%-AO4=;f4MfEL6!Z`Vpi#|7=RAR??++R>sj zr!6BO42=sor1HT`$G&PJJwNrAf4JRB#9bqxFg?sjvr8?_V%{wtSm%4Dn&6KGMrjmK z0EXqXa13Z20F_k74r=#F`7yUpwRAJ2ULaJsL-zvy*wd(5JZ@w#LM5q})JJoCFf6tt zbCy(LGpy^EW+PIGZ9$FAd)2;6=f+bX{|jr^CZ7w?-Mnkp>+YH(BImiS38`rDOS3H| z15x}(ylNaaQpvEE71dU@{E7zhf{F`9;rcZjObt|vj7cU+bXbictnRbVMxE-W5grKB zrv`tK8{UaFlCPL8gw6~n34Yi;pD_5gdlpg8Ry-B@l2mSs+v9-*3>-+o9cqIFxG zEV(j(7nImi2=md(W3vy=`>ud$2>U$7!Hj@^(L&$ww+X!3G#L=O)-Gukaj&RxIJQm1 z>FEtPyEcrjC!4|6`F-{onjAEi$(EQUk;ZG;BZ}AyiD;5#eVPU2R|P*gdR0B<1~T(Q z@mLHjC}?8v%}Wx&ByXgLAutSaBJcX`2COb%NYs~~&VgKykqwv~=ew*WcxYo>P#X(& zE)?P2d>z|t)VgexH6>@LfNu!zqwW5zNw5FO{`*#Gf zpEi-c`&1d%;Vl@ptBzH3i%x>FiJi#i$E&L^1u2Fkmy`s#ojSy=?>y{_tW=yOC#R8s z7gqZf(4JbUcAkRDtARS1k97hr$ne_k=x{{?BZh*vp8FC=(!r+LOQW<)N#5CmvnL>W z%xPb0O-24CU$elg+fs-KPngu>o&3zH;+9Pn!%02{Lpr>U?(%i4Sn*ZiN47G2mN@mi z{d!gr8@{B{hWB{ELddh%os^(e0M-n^;&nO)Ln402fnA}#=?fccyc1zAWlRA615#<) zhm`(%ST}!UOcB0H62-JP-_)&4xXA%wAyelT2ixX&13LWfk>9s=XW35+X)i@>-B8e zK6!8YI^nrHK5U+0@1{yF+yeTdxb27#y_btNij@FNM?-SI228zds<4ii0g?SGD)0qO z6uif%EjzyfVx{+VyjU}2++B;0ox=OY9g7z9Ujc0vN)wK7?oukQ@-@7f+|cvDe&NP4 zr(yh%{_RkCdcVk>XwJr_AR=Y~Mib>E3m+h!*;>h)STu-scF^JY9!*0nj-}Q=^NDUw zZfPF!rjw_jDvymPRQ7;EuxOEn&CtHjOv)vzSRm?5ulW}z0JXwz9{UX;jhy)=h{lFf zFh_^Q-44yuG_8#D$1OX1V<6Wj@9jVOaznodz*%%hY3qm<)68)|<&q-+%H6j*Uo@^G zCLuCj*%&W>h*5ObnJVeF)2*?`y>Oy*Y3Qp5zw)`BBVc(6OAZ9_qs4ToGPOo?vh8nC zF|c6-5C-MlGe#C(U$7do&{ds)Cj3n)W(iS`LG=pv|VP zFhL(TeBUTIK(^sa8xCLq7^Av8u8-52GZeHK-H}b`$vARn>?(Z2lGplFYn5CLo{;Ad zCYCTzYK7+SxYyOm0P+f3<^9P(zv5q3EG1WcVjZlSzF!P+8p7++BiPZu>Q&`9H=}6y zMOpglMWJZxrkKz?Vuc)>c#ld?8lSXKdQr3jZJLY!&_&;}f+tf7nB6)89Wo9k*8hU! zuVy@Ws3@UHtxrBdt$Ij`!W;EPt_tN#%creJuPDMoeSjW+U0Tgy8^oF#5sCYu4@lBRDil2cb+e&n&g2?9LWug-KC0yW*Y@cNnf2Ez zzh33MZ08B_4fH`h2T~zdW2h0fV<~SsB8$an3zM{;7(i;|Mo9c|{8IFz27z-LPo#z2 z1=8UQReGTz&7WF7W0!Xt^SLL0R8xhiP6!NW+q+tSxyW(RYe^XFQ-21qk4I47x=KRM0jsPoaKyXb0~eCKsA+o!Ri#G zAVC^2qWzZrBDYZU%g&m8nr&{SR}Us8_%Dvei6y41i zQV=h8Y@H+dm>6j2vmMm*)UjDz_8Gwer#lo>9TQp4Ub`eO*;CK8=8U<~Io&({Ospw? z%oOYV71urH2~RC~5}4||#J2*h~O+eOW~zw0;p5ptuTvaG2XM$naEj1 zHSZMA@WMZZH~s316l^f#mgw)d(9n~V8#TC|UW+Nw0XsNhFABCsU&4;?!&;9x7`}!+ zO9p}8lZq?+gq=X9cDZpZJopI?_N;IP22$zlSCsSP7+i^C9D)?U2Yw)zTh$pzEH%&5H-|g)>BD{glrzE( zzP9req}gOvZ}LTa{RoLelklpcHXy|8tT}7XNE{@6-94Spn<@lGEm!8uODMn-bHe#oKISuwPEjGC~s=zDScB|$TQ zqtMM(hOVQ`bgpwGz(trOIIx=)^Pe7GUDx|QIFLU+tUn3qe|%W-A_k5|&PI0spf3SK zf;@d_+Ip%paf%5O{&lgMasGX0GH2XkkJDT?No|c^Vn4C$4@Kas@Vs&d!0CNr&Zy#4_k;_|@ z$Fo5AsWVL_kvKZ;l1o>-NG)jd0_NKZFR*7M24zKB<@*T>s1s^0pw9ybUUhgo;X5jo z-S{Hcb^A!{TqCYiJOP?Eg}hAfXs%a*IU;5&5xcRQbv~`{_uYsp&byJPS;rp{>m@Wv zFDd1o2@>ftKk;4{d}+Xyn$xQuA-)(RTmfx6{$Q{g)BGYfPv2S!;M)`keG(BF>}-&p z_I+nJlh5iaS-|SW+-YD91C;$Z)OcyKYv1`2D8sKZ+?s2VBc zXjU&WRPn7f)h$wrgh>tjWB|-GVfE`2hG1H$VbCklYeTk5gkfj06*v8pg9il2Sok;j z<1iv$3$Q&8f@YD!fjmk=cK<+3v!-_{kL7V}WBF6z{D#Kv7NyYA+xtF#a zDx?B`nBh^ zz*({=EK8duU*W2jzHk6x^}Hjv$AW9Z+b6iSHPc>5vqCQXEV5FIUzY68OjtoxTo&)x zuY4B2s42%|;tA^o3lQ^oT21gmdU`ug*ie(I1?T}JS3iEqdvSG>(KwJcbcpIx)z({i zjdMPZB<0i74{tHl;Olx>4_ETS@oMB&{|-F^&F>}Po_0T(wLS5%D9$U3E2 z6j@TM^T+IGkp3e1!TlkG{_AQ9Sosf_KkvbRMfv*>88i^kJ|+;52HZbj%(4Hijflz*cD{w6?r{#g(H3(7w~OaF<&#`}MC`OlBkf5H0a$^-}d_vHMm zW8O)O;+W|F-`10)FOCa975aB;F0O9I^zQ#vZ2}=(GlD3>h*zgm(L{=b1N zP5)4X|DiS*_+P5Q{`u*C0|F`%`7;&tKw_*;3KlHdZ0 - + @@ -68,9 +68,7 @@ - - Vivado Synthesis Defaults - + diff --git a/ENC_demo.runs/synth_1/runme.log b/ENC_demo.runs/synth_1/runme.log index 5fa0b37..0e64b27 100644 --- a/ENC_demo.runs/synth_1/runme.log +++ b/ENC_demo.runs/synth_1/runme.log @@ -14,7 +14,7 @@ Commande ECHO d ** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. source PmodENC.tcl -notrace -create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 476.996 ; gain = 182.590 +create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 480.641 ; gain = 183.246 INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1700] Loaded user IP repository 'c:/Users/hdway/Documents/vivado/vivado-library'. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2023.2/data/ip'. @@ -30,9 +30,9 @@ INFO: [Designutils 20-5440] No compile time benefit to using incremental synthes INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 10560 +INFO: [Synth 8-7075] Helper process launched with PID 4368 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 1362.500 ; gain = 439.723 +Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1364.203 ; gain = 439.477 --------------------------------------------------------------------------------- INFO: [Synth 8-638] synthesizing module 'PmodENC' [C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.srcs/sources_1/imports/PmodENC_Source/PmodENC.vhd:15] INFO: [Synth 8-638] synthesizing module 'Debouncer' [C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.srcs/sources_1/imports/PmodENC_Source/Debouncer.vhd:17] @@ -42,18 +42,18 @@ INFO: [Synth 8-256] done synthesizing module 'Encoder' (0#1) [C:/Users/hdway/Doc INFO: [Synth 8-256] done synthesizing module 'PmodENC' (0#1) [C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.srcs/sources_1/imports/PmodENC_Source/PmodENC.vhd:15] WARNING: [Synth 8-7129] Port pmod[3] in module PmodENC is either unconnected or has no load --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 1470.617 ; gain = 547.840 +Finished RTL Elaboration : Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 1471.332 ; gain = 546.605 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 1470.617 ; gain = 547.840 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 1471.332 ; gain = 546.605 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 1470.617 ; gain = 547.840 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 1471.332 ; gain = 546.605 --------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1470.617 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1471.332 ; gain = 0.000 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints @@ -64,29 +64,29 @@ INFO: [Project 1-236] Implementation specific constraints were found while readi Resolution: To avoid this warning, move constraints listed in [.Xil/PmodENC_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1556.297 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1567.348 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. Le chemin d'accŠs sp‚cifi‚ est introuvable. -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1556.297 ; gain = 0.000 +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1567.348 ; gain = 0.000 INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:18 ; elapsed = 00:00:22 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Constraint Validation : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7z020clg400-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:18 ; elapsed = 00:00:22 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:18 ; elapsed = 00:00:22 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- INFO: [Synth 8-802] inferred FSM for state register 'curState_reg' in module 'Encoder' --------------------------------------------------------------------------------------------------- @@ -104,7 +104,7 @@ INFO: [Synth 8-802] inferred FSM for state register 'curState_reg' in module 'En --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'curState_reg' using encoding 'sequential' in module 'Encoder' --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:19 ; elapsed = 00:00:22 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics @@ -142,25 +142,25 @@ Start Cross Boundary and Area Optimization WARNING: [Synth 8-7080] Parallel synthesis criteria is not met WARNING: [Synth 8-7129] Port pmod[3] in module PmodENC is either unconnected or has no load --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:25 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:23 ; elapsed = 00:00:26 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:27 ; elapsed = 00:00:32 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:29 ; elapsed = 00:00:32 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:27 ; elapsed = 00:00:32 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Timing Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:33 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:27 ; elapsed = 00:00:32 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Technology Mapping : Time (s): cpu = 00:00:29 ; elapsed = 00:00:33 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion @@ -178,37 +178,37 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:34 ; elapsed = 00:00:39 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished IO Insertion : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:34 ; elapsed = 00:00:39 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:34 ; elapsed = 00:00:39 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:34 ; elapsed = 00:00:39 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:34 ; elapsed = 00:00:39 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:34 ; elapsed = 00:00:39 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -237,16 +237,16 @@ Report Cell Usage: |11 |OBUF | 4| +------+-----+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:34 ; elapsed = 00:00:39 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 1567.348 ; gain = 642.621 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 2 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:23 ; elapsed = 00:00:37 . Memory (MB): peak = 1556.297 ; gain = 547.840 -Synthesis Optimization Complete : Time (s): cpu = 00:00:34 ; elapsed = 00:00:39 . Memory (MB): peak = 1556.297 ; gain = 633.520 +Synthesis Optimization Runtime : Time (s): cpu = 00:00:23 ; elapsed = 00:00:36 . Memory (MB): peak = 1567.348 ; gain = 546.605 +Synthesis Optimization Complete : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 1567.348 ; gain = 642.621 INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1556.297 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1567.348 ; gain = 0.000 INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1556.297 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1567.348 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. @@ -255,8 +255,8 @@ Synth Design complete | Checksum: 39668b INFO: [Common 17-83] Releasing license: Synthesis 30 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:40 ; elapsed = 00:00:47 . Memory (MB): peak = 1556.297 ; gain = 1057.902 -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1556.297 ; gain = 0.000 +synth_design: Time (s): cpu = 00:00:40 ; elapsed = 00:00:45 . Memory (MB): peak = 1567.348 ; gain = 1066.531 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1567.348 ; gain = 0.000 INFO: [Common 17-1381] The checkpoint 'C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/synth_1/PmodENC.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file PmodENC_utilization_synth.rpt -pb PmodENC_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Thu May 23 13:27:05 2024... +INFO: [Common 17-206] Exiting Vivado at Thu May 23 13:49:44 2024... diff --git a/ENC_demo.runs/synth_1/vivado.jou b/ENC_demo.runs/synth_1/vivado.jou index 264f823..3568544 100644 --- a/ENC_demo.runs/synth_1/vivado.jou +++ b/ENC_demo.runs/synth_1/vivado.jou @@ -3,8 +3,8 @@ # SW Build 4081461 on Thu Dec 14 12:24:51 MST 2023 # IP Build 4126054 on Fri Feb 9 11:39:09 MST 2024 # SharedData Build 4115275 on Tue Jan 30 00:40:57 MST 2024 -# Start of session at: Thu May 23 13:26:03 2024 -# Process ID: 12052 +# Start of session at: Thu May 23 13:48:44 2024 +# Process ID: 14548 # Current directory: C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/synth_1 # Command line: vivado.exe -log PmodENC.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source PmodENC.tcl # Log file: C:/Users/hdway/Documents/vivado/TER/ENC_demo/ENC_demo.runs/synth_1/PmodENC.vds diff --git a/ENC_demo.runs/synth_1/vivado.pb b/ENC_demo.runs/synth_1/vivado.pb index 04717187cbaa15d3913aeefa4072067917dfd1b1..aa6d4f6249005cb69ff61b1c75ed265a122d7dc1 100644 GIT binary patch delta 1123 zcmdmcobkYM#tD6b76y7|CWc0wT;5OSs_W_o4@ zrkfw~$uZ%OoBWWkcCwyf!Q>s0a+4K=lJF@L6`soqwqbIur0nJ^!g(xgX6AavCKh0R zs)P_Dl)t%FvWbbw$Y3&~jGj0|3BplkCPsQ@MusqpHyg|DVWwX`85u2so)n(^!cLl?@ei#Mp~{(z ziE~h?Z9IuO*c~pjGMa*&WDrBxNxbf*jKnLSd_!Au^EuBjQnbu97TTQY6HS7a&2jt% zj7-Kvdt&n0P@&Ch10zYWVlv28-XVL54XV>oM;Wmv31|+Rd@wqih;U4bd5@f9N@8tb zIR=!2CZ|diY<7K0wxqV26};b7NwSXn4Y6AW{g zK++GN$b}#pgchCa!H`A?cL?};8i;2`Z07?b#OknS{rR-jWyNh53ud2|-D$N1J5>Cn zcwFE_oXBitW8j}0WGgoyYh~bkv=N$&sX|z|W?##9fOWG$KGmd4O1XoXEAsJ7#6VxM zTsJoZ0h-u};<``bN0VdQm^1R-SF(mnq=v#9lf%mBY&*Q&RUgS z@=||*kpTbzzyS=cTGbc!V*3vO0RUh@006)MAOMVw>}lO?tW}gj0RBLb%b9j3>m+5; zBZt;r){faP>yCk@F2@$$+~rf@^nlvCc?}DPTWN}8gR6c&53|guX1TUq*vx!#pB}{< ze6`Uz)(x77pl6FmLKlx(*jd}!e>hEoAMd>@DxpBM1vfYn3RrA>GP9<(7?JEOu$U6u z$p?@ng7#8w@F(2JKEE$GB)-O%iPH6zN#p<`{D}^9SNa20%0KU45UXtSln1~T834Kb z*+>fRV`VWia4+pjZlv#4F=eKUY?i(>!I>IZ{cRFt*uh zN(jnPc&&zp_TQ6f5`OQs999k}n^8Z>0(T4XRU|T$TD6Co_Z{k}@lMw==%)T}exJ5y zYU)$GM442#M_|vg&f1#A73NEkkS*@T---;4m#*S?hn5>qF({t&=5x!SmNu;4i{^cv zYtfAyjF$D2@zm|JxrI&pjC|Xx*0I+_ErA8_BRrH#_TMO%#%I0k)}QStG@A}i`JQ(w zzMjvwMH#Q|UHSjwgI;EYI|3pAfO07S0MtJ|$lKT%i^vMmni!k@4=1j5ESxsG5Poj- z`sc$oawLor3t+uCv+G@sTrS7%6Qs=;Da2CIwPJ`rmJLR)pL)^2;NS;n0VE$1dS=*3 z%R%0*8lyn!fXlkg**|W)GlvFcYnu<*y`H`ZGN;Avm+o;^9bOkJ&E|!eWoP_^<>KzG z+0S>)Mh}k;zq7mF3wqUO+_Jhy*Ujt0OPYoZnImdYzsI`|JAL=sSVn6(b(in4j?$Ms z_@eC0z9W?089mS74kH`W4$9&QmtTLpdK+em!S0O_Wzb}gjX5ysunFtEd|I71=KJn} zMjzw8_R3B;@M>$$rz<*9RLcL7nZ2IEa9}UYzLL%t{4Sp7uk<(+z*kwyzp6xwm z`LnlS@xJ83ZrtljRHox`(}#ntW>5YZ&|;4!b#T4&<;9wUJGpmc4L`|uqtDzLWl|(1 z;OH{UEh@dmy9rgM0rY?62H2RF>B@pF$N&ob<%Z{66yTl$WdG!vQZy_4tKs)@v;`x! z|832TK_d!>a8G8oj8twW64YtI9c!1H)qU>hh%~)1|2m(HOc>jb9lFMg8JFESyXxZG z=H3yP1(&UR`p`6NMKHq}iJNWFgdTSSm`XX%Rj;vUyeBj49dtNOv<6}2VBv4JvoC~ z-b0_)5t1h>!s(gOqI-mh0Qg2wgKnLW%XS{0Hg_9uL@PX5A+~(V~TLI;4GVYBg zw$(4H`^S2PEO@5C!Vi(-)i@KgVMKErw%1eargfs{@U}Kn7v>}^Uc$XAYIJ_u@n?4a zj!z{1m&D~}I8G_BL6C2s16ITZuBHl3T~@W$<;6{DZUy>;moYrz%DJEH;%mX2Q|~Fl zj$`!R5g}GE?sJX$Bd3-3BI^k#Hz&>mDG%)ia`D$EJZ*93+(p)zXRX^wXVvKu53UGV zapmzcEGAT4l07*&Q=~Oq(VMWVMsepR#;R7h`D7WcSu*P@GF2k^!J#F#RjQTUmg==tk;ndrU?wxTq~YkUw}WL}2xFly>ebV_;E ztfbv*)jaUSqTBnigtzvgT zB&vXeBo9414gO|YeXTU|%{1_9sAcP@Wq&|;QI30?G)sO2@htjj9Sjy(V`pVgH?*eSL;x0wNkaKrb2vm>211A&y9sYn~C={XF zBa&@b8ndDjwe?9V-vH{xqdP6~NSt^09{V1db*%dgJ=Tbf#3O~ER9|la;#%=m>3Ap& zJ9ajCF9D{SWnDK45e$Q*@{lUQTMnQ!=*xQQC5E+Y)@3TASLggc;tUk&yO!3 zn8-~{8IoM&giy^{svm_6u|iS(xvC&m%`B9tdw`DNQ7x;KH#n9{kTfiYNCT#lYh5!6 z0mY`QibS^vWYLlqAHdZSPS>f6^tK?t{c2E&NWF){6P_d%_%>Dbzk$&z*KIK)?PiNO z^Hd2y@ESB@u4~Jrn`tTp%*8FUP`@r0z`P6Sql9r@wWc-a7rN-Nkju>3bWff`so}m0 zh){;bMaCi4<)4?@2SL^m(d|=0mLIa}@+UMu0r4*O3D{4hh8gDQ@;CHPL5UAbY$uuI zOuVR1FGf;liscNb@NY)SE2@OlM-o_U|G4YKf&^OO#NOzTL z=(px~b>5rQ^&FfVu=&79;c41VA-6H(y01*zqx$~RtiS8dw9Wcj3(_o#M4N`^;KN$) z7qgAfBQmjkPx2QIM~{?=ps}+Dw<7W{3B#002IbA$))`L2A7?rP%Zi)jQG49)9%9GB zA9ieNGqtE6!UwxY z|Gl27V>yZ7b`j>y>LKTg+w&dS68I6+wkFy@(YloAh5ariuSerB%` zfyVW`Ou9`AHCh!}ai|nca$U65-QeIJ^^U3`v6NYH{`?2(T6JFUwf(qVPksh5(en+; zbv@OJ2%z8I!|3**V_|F4BoeorySeEULO36 z8R!_3rYd{6O!Co^*u@N@o5`umdc3Ub24gD;9e(xs&Agw2ZAaDKoUpFV!`{T?ohdGv zvZ=UmcAQ)Yk*VBHLt>;W%aQpe{trw%d{5ron>;HS`11y1EiCFN^&mK?=)&KLX?@}E zinX=`cn$QXTxDp~>2<7v2hZxPP~G$bSn)?^j+BNp;DSHR0@Tz+|FSNcjsDOpM8-md zf}se7C5E6Ho#bHxrwn$Ad1N#H+I3%0ey&Vk7X%%7suI8`&;vzYJicOVR6_M2l=H-= zJ%v4d+a0WWZP!um^e^-|ea30evlnzR4Kim*(KhRQHq=3Ax<}<0!y~e*#Z-JdayrWO zJUmmJB!_8E1Z@n)0|tnx2zOI|mNox0{ogCTlmaY&L8uN*P-eJJ4)dJFrJz(XWX`zI zBLcg?|37)lKdQx-odsBnAJtaCKa_YP0@^hXTX%!dQE4R6H#%WAa+A(^R7&awaHge= zKq>1Yh&2^0NhT?#i5r|^Di-ylR!bq&DUc{2qCAjCEU-6GM}!pRqeQ?&2)ou0C}`eP zktpO7OBM*?k|-dgO~$LcH>aaS$owBKAf^%`kWPBJQQm0O<7d2YBS4^pf%=j>q=z(^ zN_anXv87CUp#ZjqTia-wb5MoXkuO)z)O6WyCMDfKXu0Vw9ZBGD=(E0_jS|FKz@{?L z%LQu+|MrO_$&$b7M=40OiwqR{WeTdlvp%l=xeeOV`Wb5&6qa76evNDXGqVbj2v)YB zE`znmF-ihOnwoRJw?|kzcV+LLb{~Jwn%K!hWL_``hKjtRaE7$*Mt9-kdAD)WcZd;A zGp%4IYj@oaNOiNnAZ{}NvEG%wLR3C(MZ6e~GC`bY*#MEGIy$6adr0-^A2b3Ven*3D z3yo61F?eGJ5TPpImj4?xt+l}*v+?5Ya=SXEYF~7 zh=K4OrOZJA7yU)3@zOj>eLo@iKtTNHm7ch!<>R_WimC*RNWfR5)9I!f|M{+|Z*Lw4W z`SIJLZBAhg1g8zu!9-&$m<44Z+nJ7jmh1AHdbdiTGJugIR$75DKl3JqqRH=WCKlx;kKgym(HqnJ`OVz(~|5ww{>$NkL*9F z*61G;#jqrc5d077ug}Y-<<~R^nxx0E6W~^!|8rk|*sgK0Dcg@LuPUyg6%ZXa&f1T0!vYfZuoQ zN7a(b?M2;^tq%IFmr(U6dV};t-1efrW!#?L$$0;2>@ONH^za1wW>QMbY7LWN)n(mV z1MiCQ^Rcx5MrPfAub-iS+G|#|&i$6>!y`OuJ+jTDwf#zP3d;w@pZObL^%=bm&^Pcv zk*aEXcBcMhptKDM&W76*l#$KdsMWxu9*~*m{SWSv4f6{m=wa^yfd1 z8-O}%^&CeQi+f`(*MEdTNKn{@81)g)VX) zH2y8p>oD2`QXN?X1+OOJ?SznmPzp}X>0yj!~<(|%4``WX4U-98I9rh<$vhum3^E`#!_q&`v`0qi6%@gZZKlR8tomuGcfyMZB z_Hd!^g4TSR#YNrTUfq7d{kMdqFv?P>^iN=n{b$hsOG2`+HFEqP4GC&|YI;g4&P{?^ zBHC?|F7kCsidJ%3W|~T3%3*Sxj!ue(afykIQITAhWW{g@& zg`QcBUY`DsA^repjDkD*f_Xd?z<)=<5Lr^I^-t8CL-=nY%hA~2e}ZUM*RjJAK^|5R zNw!!RU{)yy8AIgm6KcTwkroErv??Wtz20!pQr2(U$zChGNi#LYK^wB$ix_>td!zUw zx~XBiZmB;cbZII=_l0-pifKEHNzXspU3< zeJq(!Vr8(=n^)oKt)ybLu96;UDFnBr$RE{SJm^upREd-Lt@D|)j6byOUR@92cmt?o z*6xN~iI|rG!~u+t#Zm*Va09(g5{+x(R3QR!1=sh`?j>E5_~t1aNXf^P6YqA~s(x9} z#rdO*fTxX6;jwlFZzONCtd;$t09g~U;*9d^&VhYAbuV^Hs0vfaSC&n*KgwSjY?XF6 zRl5A!p8WzD?`(syz%AOi<@pz`4K{1yZT?ssjlKVZ8AqJL$3l{(zDgi|%*U)+02n!(J`OGA!6bek5TR~rRgs^o&; zX*!v;W9?6db=G*A!_l;$X(F!Py*)AV!u<(Cb^`}gaeX4QF(%g|3u3O4!=M&!(>h;# zak)-Rliv$ig9i-f0}c>(DmkYf<;4vAx!*@tUkHf#Z(TTL-~ zpZHe=(zvK7epK-mZ#Mtt-u&jk$Xq=+dN>|B1E<(EC(a>#Xn!(7R_&h8^Vi{A?SQ@j3Qm1`43h>ClZ93 zdNk$!osNWw$l=0iYEg7W=j)`cNjsEEnyz@dZ3VM=ls4&SCbeD{D>i4>O@$3rf)kyUG(lf@i3=U@+S)#Wi&i10if6cqmJoW#? z`1(Y$%8g`Ywv2@YZlDMMCJD6WVIaNTPQ}W|Wr#W5jY8wJ_x=t%k{|#!uTd1f)V60I zA(Bp#4RC4kQhoID)3&!das`Z=(6Tj8^j3CQaufzu+?aEC!)>21udEku4YV%lu%xQM z4LE7Q?Q$Z`uCLuo-(sDt?;g-J88FGxjFTe!CHr)Twk+l#q)C=x1Np`DIA_?)Si}&} zS$E>Wash_8-9DO4r5c^Nx;2&kas6sEa@t_#;Y+?>6KaCdx$g@qG614gv~(-HK+kj}~n3SmuQxM29J=q2in8f5J+9BU+&3|hz8 z8Dc9GiG&ZpJ^cIM$yl0b2CNJc0N@B6008EHeG;6^4eU++CmpA#TSRLuqr5zOeqEXA z>CHX}La<2T+Yn%Qk&er!9=KeMOzL|LNRxNLj`Np5ON<3qJ3|0#o~1$n0@kWB`aMy z+U^cK4D@uOx!S5@!2$za-s$Z{g8LrbVkA1_+naa=haYI6BDkQ2EZX&YaysySt-cQm zcPL(=T}!ssS8udPEmI%?5$@2mT;X({pt(8e6LJ);y&vN|a-m6sN4giY$xK>+M+g@x zHDF~+Hfv-_38lO#r>NNm&6glApAd5Cp_4 zUWuFFQ%S=hIO0M_BskWq(W+LUWY;Vg8_Xsic6>|>fOB%{4B-@KXcn_A*Upj}DEkkT ze2l3B-EH3B_Zh^9U!;6IGa<6e5wlbiGu{zMhrHmjuyT@S(H%4xM^5%>YOm6g2M>aj zErNNg6L%w0j6oQ&d?{kmCiCPKo+T^VBhY%SMY)WY;Q-Ml98<1uUS^w$NNCi_LeE;AzSzRPRV#0X(Xa3N~M@kW0j zJRD8=0IGPUsAFIO8ev#r4K42|+`45I(-#bfta;yOwpP@zTyIJZosPV<$QeYXZfM-e zICn}{27tha52F$R4#?Ob_trKhnQzq^*6rO`<`mtdBmloE(2S=k*Hx*j-N(bls|uDZM|#a8{$?~@^nj9i-QSkHae1hw`%L3nSP za+?18?!gzB(L3z-sj`{Eeg&U!BHgPRH7G06tc8s#tf=5xyK9roP^KkPpnc`45`cNl zzC}vdm~HDp3)?AN#KNTYH+qmzLF?cSqo5|b|C(^=k`<|r*zouynwtjuMtJ!@(D8nQyL)f&}a0E)SU#Ak9vTf6# zD9{UAl(KErfYb|gi>x5hf@HrDQ*2wJO^@L(S@OYbHDlS^Bdk*2wVwPYn_Ls(od^Pv zBO?e{x~KjTR8kus2%Nim)kn|}D(iTglKtG^!G+L}?ZjlBw0nAfH|#abzSIzf2CSYb zp#WH$3bot4p;z!YFNrNU?r2BE%ack^-kRP|w6xPQ_%sGp={c{@03GZ4^Pj%?<--_vi?52tBZCYHR~Sm8UmK zGN$cTESsh^F{w3Ik51J?dt@aMm07zQXR@$;3R3rah33+~u9LJgte)xn2JRaoUa%AU zf7>uv)<1?Bp?3`*N}kBbA$Cm(Sr>OUZnoxNHL1bN$+3N3QWhidgGcs{xNdPZ*ZWrQ z!qEM4#%EtK{Ic#p-=m`WM&C4lAP-j^lkg0_NJeT~fE3)1?yMZ$;PLzZsN$pH2Oa|% z5TUaliopXN+F#X9tb}~oC=dPizrp_Sj8J`1T3C_&G91p9_4*kvoXKU3s*cqUV*^!# zje8D(g8)hUNM-{Ow24$o^V3iasO5*Cr9>A6yD--cM(9!`QfRM?H%pndVimRW;6q1f36q$v3CV9 zQK5%`!)fO4d4_h1#W1yc$2S_twhN0zKj$;BVzkk!!wu~nDdZ;n`F%luueJ)#Pq@=S z&u_|4IQz)6I$BcVB_jJdz~s2MS{1+2jv?+AjrAGD8^^*W;&X@ro@LQtuN7hE zanm6x^}WS}$gNCht0krTjOjYZ^f+;=NjPgSq1vw;r{X0V^*zMY;JCqr$hIQhi!nYv z{Tb*z#^iXXS_RLtA}*=D^LxSYI5`twMC>n%CC}7ASiogE%gAe*#}~56e}--jk=Tr2 z`!2oF+b~&jz1UkfH`28s+r3YFfLLu++U3Er5y-WJ`x!mm1e7b9&5miQQ`-y>;)cQkfBcIS%7{n6bOfnoTm}#FZ+y=chP&F#~HMHbtC zF7w;8dJC=!lSKJp?9Vuqd#KB}}gE7|@5~sPD1p0*fO80cT+1W>AK-3o$K4%xY z30_xCy==Zy>zvm!ZX-$ciWx)tZc!nwlI-j&6{_iz^Idr-@8zm=zY0 zzK1}_N@1XZHuD4a-?c4Zm-~hHKdGbrpZ!;D>tX9`{y()X)Sam*f`PvNo__fF4z28lPGBQ&;jFyeg=vD9kVObrNnqZcfLk_Y7F> z9K<5K2e0;F)@?=-TrML6usahY1N|OjY8oIgdVsqYQFzEQqHH(`KK9=91n7MK*dADs zQ2z(uf5#igWj4161OPx!`QO5b+yCnD+19wW-K2;9>MgCOiAsKEt+oODYy`rpJKe>} zCJ{+6ti%`2PXG<4d5A5AQ&jZ3<@59L`%DjZ4~dn zl@ZOJS2d2Sgmp(Uc;4mJAVm+N4`YD)^MgA{%P19}E_5*@OJ;sssB6IKcJY3U{*HZu zdsQi#P0$th667;M@MHw18y2Ie442wPy!?4iF+G3qi1NRX=eGR zrJ5<}3#(Dsu7)JgX{j{0)3CF2*ILE?{AFV)%j5UALTPrl3Vj$QK5h9DqNIl(VMrF>$sn&1^Ds+se(uxF>yKqE0*l>M_PK%?*MLuX z>*7!o{z+hL&O`~Iy~q84;SAL(pR-KR2aZm%XQOncIWH~`#f(dvr|#(O^DzdPO#9bl zQxs|!+k>F&kY@~bDc)#F=6S1ozH zH38Wg)O@(vQe!lmyKLF4PX%XV|d`teF1IjX6(iBI-b%PDaCr=I0(c&mjNTg4Esie`YKoIMVBty0yD1 z1G|VI{=bXKl*#BncLqjNdNXcA9!g3ZK*y5#n56*$Z;ye8WLM?Gefx#YcBV8S@)cZ! zPZ?0iWz5z1$dwfENzp>StJ~q!hspq70fg-Ht7cijrAp9SE962|%MUyL?%_gc&d4VD zfH+&^U;#bmM|ZJlb2eQ=5WNH?+(RMA3syAf{spZ_s|oJW@H|~wUgg8MmKTnONh-af zka@UJDtkGjggmuk$h^(x`B3*kJTVwV3?ssv=1UJD%lwJdT>FNmcUe%4w+`u$(Ipp- zc~G-9AYgC6aB^hOpZMU-H-Y^8Cct;}O?LZ`7RP*P#^#z-i20dBE>rkTI%tH+HtlJ0 zg#nV^JpOm(AnkY{e&I0gjm2Fwbhok) zf$&}GW?XD$h;;x}n-l!b7#}^cW}35^Md~J+IKkb)gRLYu8skq87{nl!JTjEP0XI32 zxi8`?Yi9G+0H< z41lr7)kq%ss8#Z2)ej+jib`%!07jqinQ+*avQu;HMo3=ra;Pqrz&T#l;ttady@K7_MFw4?TO@~|MHW_y_Wog% z?MhD34X}0ahWj@eE{1a-C z?Ro~RGT@$#Yr%brpar5grUTot#&N{wXTX$t_?mT?Licv~hH%)(b{sYAXSMG{&*K)Q z1FzrfJ&qcNaF@06+9-OvRz2sX1{!pD<`?R(uIsv&`&7+aYeFP9EE3Jn3T9Blw$UE92^|#N z^fn>C8pq}<@!D@lvKi)TIizzp+tBgDc?d!)bix6_WSafbs_8f(dP^WgSb(Sr_Jss4 zYbCvUm_+Up7~PE=mNcI3>GW}bD`HfC5=m!NQ#kC(xo~M#_+aGye{HdZdUVfWp;MW_ zDp^S3zGDpn_Cdp&v+<=Rk^vv#EB~V2Htd)9-Mb|WH|s9|EyblsK*i3l(Q?Ndiy~JZ z3`d_3pk=>wM2@e*I~3r*AOe20r-ci3_0YR-TNiqrO*i2O$j>^;W?xlh5TU~@?`}7M zA)l;-Rerke@*dXZ=^#6tp&qN~aNQ8)Gp`asn+8vwB)Qz#=qvIzK?`QV(>?x?vK~Zk zGZ6WfJG+XB^}gcAl4k85c$84JFFlsShf+xvIIOf%)~(SgqWU+g=P6*b;;3diy5p0Ey0y~3TcUu=+34A?&FFho61 zMcP;6grbZ88e5*D+hFl631~hA0z89dMukW22t~cXC+>CjhX)YJhk2ucL-3nCVC&`?qr(L$Vv9GKqLT05<|0cUz_SHR>q8pmq37(?>VY=l;(7VduQ%3aj<*)(8|@zO8O0=cq*%krM&OOilL} zoXTl&PPew~$4EKXVyo|YH_O^ zI8m9QWvBBw5S1i=w4Q!DdNcjNfxD_QOvI~3V8#u%QNze9na+f6WsGD?=TvIIR^mFL zNm)Ls`PXIPXLw$naI@A2G(a&dHlX?=XCe=%JEJ<$X+w&*UchhY7^{jGx%Z7j19`8T zAXN%jJr!nZBfGe)Rh#Rw8Ou1*guL$koagybNzH;ab~cqXU?tC-Dn%jK`mQ`XE z9k!`-X)@~+eCL@pft!O~yD&N#PJrU_59X zY6~SxDe^gAPG^bn(gEm*>_lleR4Sf4sQb&h`CTXYH^G~y_2-OJ&lV=Z64V@qcy?3{ z{$wI%|AZoLNvmq+CADbLO&fa4Q*ED!^@*jr)UAJw$z{dK8NVy8BXMJSl&1?9m%ud8 zQ(QYM0|~PAk2HJkam0>5J{3gsqHVLL0+H=4Z}zvrNC|ttM2WpbCpiuc^NTs4$SPCY z7RDs>tOPcG;H15YXnnI?dH*TNk$j^yF_Bl7gU9N$^l{c&NcwSSAYJ2M^5}x)zY1D zLTWo+=jKRy6myD;Pih0qM}{W%d}b_`V)mo$lRAdP$yBC)ieOe3m)MOWjOO!ljl(rh zRkPyeH_Tn(TFbb^6&y_!GpqMyhP>I_`KOEl2mDGWP5=GSdYlE_U1?Ivi_G zm7H^#sE+i(ZH2ktgR65416rly7ia6RlV(FX7vJkvb!bHBt>yzr33y*n>|e)nJ|NzU zt!WX9NP>)r*&6t;C-U#LSd7d4C|lYmo7suW3y6Vgse8%p`s^Vd{=M@bR@CToe$3V3 zgv(xrE;88WZfZfF5E=|eXZ_%kd&#{!jQa_`W)}>Yc;Qc0=3Fe`#5WeeoOKPq%(Sr5od&kLdZ#{*6K6ya z>Dz?tJsh$NP=e?eZCWX4I3PWigama;J6g0BG^O|iA+b*=ywKAzZ<~m(F8pPmA9fS5 zH}S}fPcqVMQcJTK4~hr2c-|-`cw+&P8u{b^q1nys16l`w#Z@tb+PzZ#E-Y28+z+W1 z2o&zqyo0{ydpCRJDsYx|^G2~}d6QDSo6wja>AaMj1p zU>sQGb96T!*z~$O=LpHT@2P{!o6V@V#bm&X{*70T!$c?<*07-1&6ZtPLtIjFLMz<5 zV}YuHXpu6?M2Zfp(TCQ4^V+Uc-Z8)dV)#+xEpov**F^9Zu?EwcV<*B5d*TrU-E++% z=-G{@KwXi@ZE<-y7K4HTDtJV05JR;qx|ROdiwaC=E`a`G;kMHU;QbadB}E%Bl&a?ardNd-arki6R4uVYDk^PN4Qt z_JSnxP9&UUUY}+L{#(IIidt2VzKzKETs#&74Gf$ZeE*(=H_098rVj{3n8>~Lunny} zB<#&gV@Ik(&jQGb`Dj+sjlME?h7X}Z58Q#M3He9-hyVmVPCbd?7%Ob*okO*wz2VE zkfKj?Lyni*sYTfO#l^bJOu=4qei8Z3Y`YHJQ!CNVRZw|5P$%`hg~tINUfUfVF0W@m zSMb^MR02*s*ff7*kai=^J%4=p3P6iK>rJUH&%5Gn5_tPi3KroGm3p?HpE*_BvZJIw z$wQ}4gVWJnzJ(Dhx*_<&QijVErl@b18yQoCtCU1G>&Y|qAQf(Oj9`T7RuG*_ugK@gymMYbf2szZJpr4BM&CmuxC>x2X+B%QQTfch|c?U8`*jQ zy1hQBPXoG6Hbq#+`+(3<6$R*$Iug!H)UJ)s0HMNHI!>$!BKCpV_kQ8i^1fLM>P$eJ znZkrUjH`r_vuq7_CMV<~pij7=)I}ICxPLoDp3V%Z1J&i&6j;Q(!9+RH(l?NMwnp*} z1{J)G4P-d3ThmaBeW~SiKEeI@1J!fhZ1NOD<(c7x(jhcy$fb9JaBMgkV{};D!_XpC)A~4X+?t~&8e)C&;n9mXC*)TEj9GV- zrj~Fq)dCwtE-75O>u%?}+FisXSjGnn{mowyvd%hV1?_g4P1d+~cBC#fUDe1_YNQPf9D?Sf-OE1tCB06Iftr70WPCfh`{Tm)t_6u|J`oH9*A0A}#)-Li1%_A0w!HG}Ew8ZgAOQqLE>yXB|xX)d* zE$cW^rSrR|z(aOmnAn>G)gT}|(N4F>O2H(lWhlu$<-$ZJD_@oT} z>H|SuJrd0$Hay~>SIsR&29dOQXJNhkFg!~Udaw|l@Pz%4$3$r(74mL=b@QPnj-+b) z0`R()Udp7rced#Xne}(;GaoV@){FSKdb+^w1F7H}F_dt7vE=t1k;Nj^g-M#PbU-yS zBSijKJ}J6UgMc}W=aPc1eCe=-O1+R~o=n(HE%OeUT~{{LpFsX|nZa$=rMl5f3T(2+ z`)Ex_FD)JIH~eUbc7{d_sCARd9&viO)XWl<@fauVP`jcCz6Yd(>?$oXZL&0ft`50V?l6BE4Gr{gkCssOWq)yJiH zI1Y}+t2aMo_`16nelWNe^K|$h#BtJ~U^D9^4lH)IQy3g(0Z^henk7DAcf^CLn9z!| zoe-jPi2!1{2=cC`ODXWz`__(;JPdSHwApqlI;xn=PDk{hei!>>6de;;ZypCk@7Yst zwWjpB(K+4w{tV11{}_sK^?~gg^NORAJPAl~RpMO%((z^NRwNzPGr$A-v>fl==t$tGq?~ur@XkAhGyCC<5Ue-nlIZWV)Xcv)xUC z^(SV(mM?;)vEU%-qKb`@8?!f;flqxxRl_@KCd%<;45q|B4o<=gb|9Bi*%3e_HP78U zhcoo`+ja4RJ;DaIw(|n0*=Sy8@?CW66oE}0|F)qvAjIUdIqT3s6exYmHJ!(kA_Pip zb*Dp%I$|zg$gqr#_f}5;FKdL-@bynV!9Y zqk+wT{XPr`4)pr{zeO~BW)t@Rh-ml>ru4h4aq3$}sjcxVtmo$ap>RAE?zgTmIzQ-h zDtY%&^)q~IefGJlKO~AkX%TL0QTaNwXA>{mb)xRO@+m~U3?f0Gs1VtffJ&YAp1q+E zDDFEtmk8}kV=ZAfUY#JoiJgW(_|hJuP2qDwy?L~}%ULd3L#g4ZWJlOTh|zX*4Kfg_ z?M;~_im@)4>fZBX&PnZdZ;PCJVO%jWklhe9QP8otl`|SsW>P($gL)Cj>gOYlXe zuo++GxN9GYU1-F1h{r?GB$Jiu9nJM9Foj2NC15plvCOCT_C1KGVt*WYowxrRu~kBq z^qx}go*#wwUsn_T|9sp5*cg%{ATR~YzY(QSy!JV;G}l@-c11X!6cGd zZ3jc-y&J1x$xE;fv5omb&4n+bxC&T*r!*t?l$?Y7`x?ig65$MBA~rIHA21I+fq)K6 zj??|WNu&=5z-#o6Kqmj1G~xdjiT*Wc(poy%{a+zACRtBzlOB0^_Z?X+g%ri&U5X;U zwWhj7LLPtmj5L^#t%t-kVtZX855MQrI;=VbMpAd<fV^ zQc1t!MV}vQfT_rSfRRE!7-mwwdw=1*Er$Z3z#l5PBD=+@A5##7xu)J#h%NYr=J0!m z*1JMOApaB(wA&XzLibV@1DFSVfc#426EI5}iD_l060ar>=q24M7Q8qHg5Hd?ou%u!oT{}Q1gYXfE#biOsE}}^$8;gV;n&|fLjI0Mo zHwl#;aYKi&E=6stdMSyk#c$qs zy~nd5=}CgU%O4duMnNFbS@ldVrki`gC~x~TZ)@_mk2-}~I;HLJL0-Anpq9W(U%uItH6Hj27*r_>PZvLyTa~f-#lekNPkXlRS@u@w(-ZeS{qNi5Rm(OV+8#Q? z^XR>KS*!I?v$VWpOsYIE(3sHb1xUbUq#%x!Xzf4kci2G0<@>*`$e>P_+67#*LZ`Z| z2wE7rQegK9{ixN)`f`?=)b|U!Ox@IQcWe5&xjQ|Z?u7LQ|8_p`;$hvap7zIv_ojqB ztLa|)Q1e+-@@*-bZI`^uCn>3V%IC}!o0FjDccnn{!;&4FBTh_ybm{+A5AA0wvNz~- zDJgHAes{{gH)2n-{_Z$)`eH`a^mE~>djv9@xOmOv!$i(oPEkK_Y*&Ny5zYs{IwYqY zY(2N@zZTQ}mQPzxl)uhZQ5X1jnWbBi?S7eV>-#s``2GtDN|c;E;=lICqCWYM2{u>! z4zulXB?gDH?#JB>0XUv zr5lZ7LIdRvUNutoc{erFJN*fFfHxyB2yva#!3&&eW?%q@GsCt<5DR{02Pfpr4$!$B z=q8|^>ws*60MI6sQy%b`g1WRH*_2?QV_~L17W(5c26b&d#2AoAwl&^FG6uX-ACD=h zi%OAANs~f22D-!)k2$Cl_{iossUn#Jna;;!4(h}@a^QSIG6y`(j>i<#nMq{VeAhs@ z1~gBJ#}L#88nPj#HgH3bn`?N?LanEfy;Wt0Fbh#@<1q@gU_~}c#1UZ>teC}P5Nbh# zY>=-LxY0m2w&28Jch3=Db4>Bdo}W!hERrCyQg>1LtX-sQf* a6`obuZjnv~E@44l?&d}%rBR`->9zpK%d`;y literal 0 HcmV?d00001 diff --git a/ENC_demo.xpr b/ENC_demo.xpr index 0150134..3e22a67 100644 --- a/ENC_demo.xpr +++ b/ENC_demo.xpr @@ -137,6 +137,7 @@ + + + + + + + + +