From f878ca99c93af5bbdee4b4b95ebb39ae4961073a Mon Sep 17 00:00:00 2001 From: Gwenhael Goavec-Merou Date: Thu, 28 Jan 2021 06:40:45 +0100 Subject: [PATCH] readme, examples/Makefile: fix openFPGALoader target board name --- examples/Makefile | 4 ++-- readme.md | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/examples/Makefile b/examples/Makefile index 1a96a9a3..7be74632 100644 --- a/examples/Makefile +++ b/examples/Makefile @@ -25,10 +25,10 @@ attosoc.json: attosoc/attosoc.v attosoc/picorv32.v yosys -p "synth_gowin -json $@" $^ %-tec0117-prog: %-tec0117.fs - openFPGALoader -b littleBee $^ + openFPGALoader -b tec0117 $^ %-runber-prog: %-runber.fs - openFPGALoader -b littleBee -c ft232 $^ + openFPGALoader -b runber $^ %-tangnano-prog: %-tangnano.fs openFPGALoader -b tangnano $^ diff --git a/readme.md b/readme.md index 477817d6..4f3e77d7 100644 --- a/readme.md +++ b/readme.md @@ -40,8 +40,8 @@ nextpnr-gowin --json blinky.json \ gowin_pack -d GW1N-9 -o pack.fs pnrblinky.json # gowin_unpack -d GW1N-9 -o unpack.v pack.fs # yosys -p "read_verilog -lib +/gowin/cells_sim.v; clean -purge; show" unpack.v -openFPGALoader -b littleBee pack.fs # TEC0117 -openFPGALoader -b littleBee -c ft232 pack.fs # RUNBER +openFPGALoader -b tec0117 pack.fs # TEC0117 +openFPGALoader -b runber pack.fs # RUNBER openFPGALoader -b tangnano pack.fs # Tang Nano ```