This repository has been archived by the owner on Aug 6, 2023. It is now read-only.
-
Notifications
You must be signed in to change notification settings - Fork 3
/
Copy pathejer2.cmp
executable file
·43 lines (42 loc) · 4.22 KB
/
ejer2.cmp
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
component ejer2 is
port (
clk_50_in_clk : in std_logic := 'X'; -- clk
clk_27_in_clk : in std_logic := 'X'; -- clk
reset_bridge_in_reset_n : in std_logic := 'X'; -- reset_n
sys_clk_out_clk : out std_logic; -- clk
sdram_clk_out_clk : out std_logic; -- clk
vga_clk_out_clk : out std_logic; -- clk
sdram_out_addr : out std_logic_vector(12 downto 0); -- addr
sdram_out_ba : out std_logic_vector(1 downto 0); -- ba
sdram_out_cas_n : out std_logic; -- cas_n
sdram_out_cke : out std_logic; -- cke
sdram_out_cs_n : out std_logic; -- cs_n
sdram_out_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- dq
sdram_out_dqm : out std_logic_vector(3 downto 0); -- dqm
sdram_out_ras_n : out std_logic; -- ras_n
sdram_out_we_n : out std_logic; -- we_n
flash_bridge_out_tcm_chipselect_out : out std_logic_vector(0 downto 0); -- tcm_chipselect_out
flash_bridge_out_tcm_address_out : out std_logic_vector(22 downto 0); -- tcm_address_out
flash_bridge_out_tcm_write_out : out std_logic_vector(0 downto 0); -- tcm_write_out
flash_bridge_out_tcm_read_out : out std_logic_vector(0 downto 0); -- tcm_read_out
flash_bridge_out_tcm_data_out : inout std_logic_vector(7 downto 0) := (others => 'X'); -- tcm_data_out
red_leds_external_interface_out_export : out std_logic_vector(17 downto 0); -- export
green_leds_external_interface_out_export : out std_logic_vector(8 downto 0); -- export
hex3_hex0_external_interface_out_HEX0 : out std_logic_vector(6 downto 0); -- HEX0
hex3_hex0_external_interface_out_HEX1 : out std_logic_vector(6 downto 0); -- HEX1
hex3_hex0_external_interface_out_HEX2 : out std_logic_vector(6 downto 0); -- HEX2
hex3_hex0_external_interface_out_HEX3 : out std_logic_vector(6 downto 0); -- HEX3
hex7_hex4_external_interface_out_HEX4 : out std_logic_vector(6 downto 0); -- HEX4
hex7_hex4_external_interface_out_HEX5 : out std_logic_vector(6 downto 0); -- HEX5
hex7_hex4_external_interface_out_HEX6 : out std_logic_vector(6 downto 0); -- HEX6
hex7_hex4_external_interface_out_HEX7 : out std_logic_vector(6 downto 0); -- HEX7
switches_external_interface_out_export : in std_logic_vector(17 downto 0) := (others => 'X'); -- export
pushbuttons_external_interface_out_export : in std_logic_vector(3 downto 0) := (others => 'X'); -- export
char_lcd_external_interface_out_DATA : inout std_logic_vector(7 downto 0) := (others => 'X'); -- DATA
char_lcd_external_interface_out_ON : out std_logic; -- ON
char_lcd_external_interface_out_BLON : out std_logic; -- BLON
char_lcd_external_interface_out_EN : out std_logic; -- EN
char_lcd_external_interface_out_RS : out std_logic; -- RS
char_lcd_external_interface_out_RW : out std_logic -- RW
);
end component ejer2;