Skip to content

Commit c2ec1af

Browse files
committed
updated the start directory contents
1 parent 45cd9af commit c2ec1af

24 files changed

+1544
-280
lines changed

tutorials/a10_pcie_devkit_blinking_led_stp/finish/blinking_led.qpf

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -43,7 +43,7 @@ DATE = "14:57:12 February 22, 2018"
4343

4444
# Revisions
4545

46+
PROJECT_REVISION = "blinking_led"
4647
PROJECT_REVISION = "blinking_led_slow"
4748
PROJECT_REVISION = "blinking_led_empty"
4849
PROJECT_REVISION = "blinking_led_default"
49-
PROJECT_REVISION = "blinking_led"
Lines changed: 27 additions & 15 deletions
Original file line numberDiff line numberDiff line change
@@ -1,21 +1,33 @@
1-
# Copyright (C) 2001-2018 Intel Corporation. All rights reserved.
2-
# Your use of Intel Corporation's design tools, logic functions
3-
# and other software and tools, and its AMPP partner logic
4-
# functions, and any output files from any of the foregoing
5-
# (including device programming or simulation files), and any
6-
# associated documentation or information are expressly subject
7-
# to the terms and conditions of the Intel Program License
1+
# -------------------------------------------------------------------------- #
2+
#
3+
# Copyright (C) 2018 Intel Corporation. All rights reserved.
4+
# Your use of Intel Corporation's design tools, logic functions
5+
# and other software and tools, and its AMPP partner logic
6+
# functions, and any output files from any of the foregoing
7+
# (including device programming or simulation files), and any
8+
# associated documentation or information are expressly subject
9+
# to the terms and conditions of the Intel Program License
810
# Subscription Agreement, the Intel Quartus Prime License Agreement,
9-
# the Intel MegaCore Function License Agreement, or other
10-
# applicable license agreement, including, without limitation,
11-
# that your use is for the sole purpose of programming logic
12-
# devices manufactured by Intel and sold by Intel or its
13-
# authorized distributors. Please refer to the applicable
14-
# agreement for further details.
11+
# the Intel FPGA IP License Agreement, or other applicable license
12+
# agreement, including, without limitation, that your use is for
13+
# the sole purpose of programming logic devices manufactured by
14+
# Intel and sold by Intel or its authorized distributors. Please
15+
# refer to the applicable agreement for further details.
16+
#
17+
# -------------------------------------------------------------------------- #
18+
#
19+
# Quartus Prime
20+
# Version 18.0.0 Internal Build 215 04/19/2018 SJ Pro Edition
21+
# Date created = 16:04:36 April 25, 2018
22+
#
23+
# -------------------------------------------------------------------------- #
1524

16-
17-
QUARTUS_VERSION = "16.0"
25+
QUARTUS_VERSION = "18.0"
26+
DATE = "16:04:36 April 25, 2018"
1827

1928
# Revisions
2029

2130
PROJECT_REVISION = "blinking_led"
31+
PROJECT_REVISION = "blinking_led_slow"
32+
PROJECT_REVISION = "blinking_led_empty"
33+
PROJECT_REVISION = "blinking_led_default"

tutorials/a10_pcie_devkit_blinking_led_stp/start/blinking_led.qsf

Lines changed: 24 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -16,20 +16,24 @@
1616

1717
set_global_assignment -name FAMILY "Arria 10"
1818
set_global_assignment -name DEVICE 10AX115S2F45I1SG
19-
2019
set_global_assignment -name TOP_LEVEL_ENTITY top
2120
set_global_assignment -name SYSTEMVERILOG_FILE top.sv
2221
set_global_assignment -name SYSTEMVERILOG_FILE blinking_led.sv
2322
set_global_assignment -name SYSTEMVERILOG_FILE top_counter.sv
24-
set_global_assignment -name SDC_FILE blinking_led.sdc
2523
set_global_assignment -name SDC_FILE jtag.sdc
26-
24+
set_global_assignment -name SDC_FILE blinking_led.sdc
25+
set_global_assignment -name IP_FILE pr_ip.ip
2726
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
27+
# -------------------------------------------------------------------------- #
28+
# exclusive region for PR:
29+
set_global_assignment -name REVISION_TYPE PR_BASE
2830

31+
# define route region slightly larger than place region
32+
# -------------------------------------------------------------------------- #
2933
set_location_assignment PIN_AU33 -to clock
3034
set_location_assignment PIN_L28 -to led_zero_on
31-
set_location_assignment PIN_K26 -to led_two_on
32-
set_location_assignment PIN_K25 -to led_one_on
35+
set_location_assignment PIN_K26 -to led_one_on
36+
set_location_assignment PIN_K25 -to led_two_on
3337
set_location_assignment PIN_L25 -to led_three_on
3438
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_zero_on
3539
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_one_on
@@ -44,5 +48,19 @@ set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_zero_on
4448
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_one_on
4549
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_two_on
4650
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_three_on
51+
set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Pro Edition"
52+
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
53+
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100
54+
set_global_assignment -name POWER_AUTO_COMPUTE_TJ ON
55+
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
56+
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
57+
set_instance_assignment -name PARTITION pr_partition -to u_blinking_led -entity top
58+
set_instance_assignment -name PARTITION_COLOUR 4288517375 -to u_blinking_led -entity top
59+
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to u_blinking_led -entity top
60+
set_instance_assignment -name PLACE_REGION "X69 Y10 X88 Y29" -to u_blinking_led
61+
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
62+
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
63+
set_instance_assignment -name REGION_NAME u_blinking_led -to u_blinking_led
64+
set_instance_assignment -name ROUTE_REGION "X68 Y9 X89 Y30" -to u_blinking_led
4765
set_global_assignment -name GENERATE_PR_RBF_FILE ON
48-
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
66+
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF

tutorials/a10_pcie_devkit_blinking_led_stp/start/blinking_led.sdc

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -19,8 +19,9 @@
1919
# TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
2020
# SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
2121

22-
# The clock is defined as a 50MHz clock (10ns period)
22+
# The clock is defined as a 50MHz clock (20ns period)
2323
create_clock -name {clock} -period 20.00 -waveform { 0.00 10.00 } [get_ports {clock}]
2424

2525
# allocating a 1ns flight time for LED control signals on the board
2626
set_output_delay -clock clock 1 [get_ports {led_*_on}]
27+

tutorials/a10_pcie_devkit_blinking_led_stp/start/blinking_led.sv

Lines changed: 22 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -32,7 +32,16 @@ module blinking_led (
3232
input wire clock,
3333
input wire [31:0] counter,
3434

35-
35+
//===================
36+
// Uncomment this block to enable Signal Tap
37+
// input wire tck,
38+
// input wire tms,
39+
// input wire tdi,
40+
// input wire vir_tdi,
41+
// input wire ena,
42+
// output wire tdo,
43+
//===================
44+
3645
// Control signals for the LEDs
3746
output wire led_two_on,
3847
output wire led_three_on
@@ -41,7 +50,18 @@ module blinking_led (
4150
localparam COUNTER_TAP = 23;
4251
reg led_two_on_r;
4352
reg led_three_on_r;
44-
53+
54+
//==================
55+
//Uncomment this block to enable Signal Tap
56+
// sld_host u_sld_hostled_two_on (
57+
// .tck (tck), // input, width = 1, connect_to_bridge_host.tck
58+
// .tms (tms), // input, width = 1, .tms
59+
// .tdi (tdi), // input, width = 1, .tdi
60+
// .vir_tdi(vir_tdi),// input, width = 1, .vir_tdi
61+
// .ena (ena), // input, width = 1, .ena
62+
// .tdo (tdo) // output, width = 1, .tdo
63+
// );
64+
//==================
4565

4666
assign led_two_on = led_two_on_r;
4767
assign led_three_on = led_three_on_r;
Lines changed: 70 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,70 @@
1+
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.0.0
2+
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:36:58 JANUARY 30, 2018"
3+
# Copyright (C) 2001-2018 Intel Corporation. All rights reserved.
4+
# Your use of Intel Corporation's design tools, logic functions
5+
# and other software and tools, and its AMPP partner logic
6+
# functions, and any output files from any of the foregoing
7+
# (including device programming or simulation files), and any
8+
# associated documentation or information are expressly subject
9+
# to the terms and conditions of the Intel Program License
10+
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11+
# the Intel MegaCore Function License Agreement, or other
12+
# applicable license agreement, including, without limitation,
13+
# that your use is for the sole purpose of programming logic
14+
# devices manufactured by Intel and sold by Intel or its
15+
# authorized distributors. Please refer to the applicable
16+
# agreement for further details.
17+
18+
19+
set_global_assignment -name FAMILY "Arria 10"
20+
set_global_assignment -name DEVICE 10AX115S2F45I1SG
21+
set_global_assignment -name TOP_LEVEL_ENTITY top
22+
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
23+
# -------------------------------------------------------------------------- #
24+
# exclusive region for PR:
25+
set_global_assignment -name REVISION_TYPE PR_IMPL
26+
27+
# define route region slightly larger than place region
28+
# -------------------------------------------------------------------------- #
29+
set_location_assignment PIN_AU33 -to clock
30+
set_location_assignment PIN_L28 -to led_zero_on
31+
set_location_assignment PIN_K26 -to led_one_on
32+
set_location_assignment PIN_K25 -to led_two_on
33+
set_location_assignment PIN_L25 -to led_three_on
34+
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_zero_on -entity top
35+
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_one_on -entity top
36+
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_two_on -entity top
37+
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_three_on -entity top
38+
set_instance_assignment -name SLEW_RATE 1 -to led_zero_on -entity top
39+
set_instance_assignment -name SLEW_RATE 1 -to led_one_on -entity top
40+
set_instance_assignment -name SLEW_RATE 1 -to led_two_on -entity top
41+
set_instance_assignment -name SLEW_RATE 1 -to led_three_on -entity top
42+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to clock -entity top
43+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_zero_on -entity top
44+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_one_on -entity top
45+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_two_on -entity top
46+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_three_on -entity top
47+
set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Pro Edition"
48+
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
49+
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100
50+
set_global_assignment -name POWER_AUTO_COMPUTE_TJ ON
51+
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
52+
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
53+
set_instance_assignment -name PARTITION pr_partition -to u_blinking_led -entity top
54+
set_instance_assignment -name PARTITION_COLOUR 4288517375 -to u_blinking_led -entity top
55+
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to u_blinking_led -entity top
56+
set_instance_assignment -name PLACE_REGION "X69 Y10 X88 Y29" -to u_blinking_led
57+
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
58+
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
59+
set_instance_assignment -name REGION_NAME u_blinking_led -to u_blinking_led
60+
set_instance_assignment -name ROUTE_REGION "X68 Y9 X89 Y30" -to u_blinking_led
61+
set_instance_assignment -name QDB_FILE_PARTITION blinking_led_static.qdb -to | -entity top
62+
set_instance_assignment -name ENTITY_REBINDING blinking_led -to u_blinking_led -entity top
63+
set_global_assignment -name SYSTEMVERILOG_FILE top.sv
64+
set_global_assignment -name SYSTEMVERILOG_FILE blinking_led.sv
65+
set_global_assignment -name SYSTEMVERILOG_FILE top_counter.sv
66+
set_global_assignment -name SDC_FILE jtag.sdc
67+
set_global_assignment -name SDC_FILE blinking_led.sdc
68+
set_global_assignment -name IP_FILE pr_ip.ip
69+
set_global_assignment -name GENERATE_PR_RBF_FILE ON
70+
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
Lines changed: 70 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,70 @@
1+
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.0.0
2+
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:38:55 JANUARY 30, 2018"
3+
# Copyright (C) 2001-2018 Intel Corporation. All rights reserved.
4+
# Your use of Intel Corporation's design tools, logic functions
5+
# and other software and tools, and its AMPP partner logic
6+
# functions, and any output files from any of the foregoing
7+
# (including device programming or simulation files), and any
8+
# associated documentation or information are expressly subject
9+
# to the terms and conditions of the Intel Program License
10+
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11+
# the Intel MegaCore Function License Agreement, or other
12+
# applicable license agreement, including, without limitation,
13+
# that your use is for the sole purpose of programming logic
14+
# devices manufactured by Intel and sold by Intel or its
15+
# authorized distributors. Please refer to the applicable
16+
# agreement for further details.
17+
18+
19+
set_global_assignment -name FAMILY "Arria 10"
20+
set_global_assignment -name DEVICE 10AX115S2F45I1SG
21+
set_global_assignment -name TOP_LEVEL_ENTITY top
22+
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
23+
# -------------------------------------------------------------------------- #
24+
# exclusive region for PR:
25+
set_global_assignment -name REVISION_TYPE PR_IMPL
26+
27+
# define route region slightly larger than place region
28+
# -------------------------------------------------------------------------- #
29+
set_location_assignment PIN_AU33 -to clock
30+
set_location_assignment PIN_L28 -to led_zero_on
31+
set_location_assignment PIN_K26 -to led_one_on
32+
set_location_assignment PIN_K25 -to led_two_on
33+
set_location_assignment PIN_L25 -to led_three_on
34+
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_zero_on -entity top
35+
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_one_on -entity top
36+
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_two_on -entity top
37+
set_instance_assignment -name IO_STANDARD "1.8 V" -to led_three_on -entity top
38+
set_instance_assignment -name SLEW_RATE 1 -to led_zero_on -entity top
39+
set_instance_assignment -name SLEW_RATE 1 -to led_one_on -entity top
40+
set_instance_assignment -name SLEW_RATE 1 -to led_two_on -entity top
41+
set_instance_assignment -name SLEW_RATE 1 -to led_three_on -entity top
42+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to clock -entity top
43+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_zero_on -entity top
44+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_one_on -entity top
45+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_two_on -entity top
46+
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to led_three_on -entity top
47+
set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Pro Edition"
48+
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
49+
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100
50+
set_global_assignment -name POWER_AUTO_COMPUTE_TJ ON
51+
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
52+
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
53+
set_instance_assignment -name PARTITION pr_partition -to u_blinking_led -entity top
54+
set_instance_assignment -name PARTITION_COLOUR 4288517375 -to u_blinking_led -entity top
55+
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to u_blinking_led -entity top
56+
set_instance_assignment -name PLACE_REGION "X69 Y10 X88 Y29" -to u_blinking_led
57+
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
58+
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
59+
set_instance_assignment -name REGION_NAME u_blinking_led -to u_blinking_led
60+
set_instance_assignment -name ROUTE_REGION "X68 Y9 X89 Y30" -to u_blinking_led
61+
set_instance_assignment -name QDB_FILE_PARTITION blinking_led_static.qdb -to | -entity top
62+
set_instance_assignment -name ENTITY_REBINDING blinking_led_empty -to u_blinking_led -entity top
63+
set_global_assignment -name SYSTEMVERILOG_FILE blinking_led_empty.sv
64+
set_global_assignment -name SYSTEMVERILOG_FILE top.sv
65+
set_global_assignment -name SYSTEMVERILOG_FILE top_counter.sv
66+
set_global_assignment -name SDC_FILE jtag.sdc
67+
set_global_assignment -name SDC_FILE blinking_led.sdc
68+
set_global_assignment -name IP_FILE pr_ip.ip
69+
set_global_assignment -name GENERATE_PR_RBF_FILE ON
70+
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
Lines changed: 67 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,67 @@
1+
// Copyright (c) 2001-2018 Intel Corporation
2+
//
3+
// Permission is hereby granted, free of charge, to any person obtaining a
4+
// copy of this software and associated documentation files (the
5+
// "Software"), to deal in the Software without restriction, including
6+
// without limitation the rights to use, copy, modify, merge, publish,
7+
// distribute, sublicense, and/or sell copies of the Software, and to
8+
// permit persons to whom the Software is furnished to do so, subject to
9+
// the following conditions:
10+
//
11+
// The above copyright notice and this permission notice shall be included
12+
// in all copies or substantial portions of the Software.
13+
//
14+
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS
15+
// OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
16+
// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.
17+
// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY
18+
// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
19+
// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
20+
// SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
21+
22+
///////////////////////////////////////////////////////////
23+
// blinking_led_empty.v
24+
// LED's remain always
25+
///////////////////////////////////////////////////////////
26+
`timescale 1 ps / 1 ps
27+
`default_nettype none
28+
29+
module blinking_led_empty (
30+
31+
// clock
32+
input wire clock,
33+
input wire [31:0] counter,
34+
35+
//==================
36+
//Uncomment this block to enable Signal Tap
37+
// input wire tck,
38+
// input wire tms,
39+
// input wire tdi,
40+
// input wire vir_tdi,
41+
// input wire ena,
42+
// output wire tdo,
43+
//==================
44+
45+
// Control signals for the LEDs
46+
output wire led_two_on,
47+
output wire led_three_on
48+
49+
);
50+
51+
//==================
52+
//Uncomment this block to enable Signal Tap
53+
// sld_host u_sld_hostled_two_on (
54+
// .tck (tck), // input, width = 1, connect_to_bridge_host.tck
55+
// .tms (tms), // input, width = 1, .tms
56+
// .tdi (tdi), // input, width = 1, .tdi
57+
// .vir_tdi(vir_tdi),// input, width = 1, .vir_tdi
58+
// .ena (ena), // input, width = 1, .ena
59+
// .tdo (tdo) // output, width = 1, .tdo
60+
// );
61+
//==================
62+
63+
// LED is active low
64+
assign led_two_on = 1'b0;
65+
assign led_three_on = 1'b0;
66+
67+
endmodule

0 commit comments

Comments
 (0)