{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":844322521,"defaultBranch":"master","name":"rocket-chip","ownerLogin":"libresilicon","currentUserCanPush":false,"isFork":true,"isEmpty":false,"createdAt":"2024-08-19T02:33:52.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/39445501?v=4","public":true,"private":false,"isOrgOwned":true},"refInfo":{"name":"","listCacheKey":"v0:1724042462.0","currentOid":""},"activityList":{"items":[{"before":"b37bcfb2220c08eedbc350d21fb2920c73d232a9","after":"445b5da319ac4a83bb199c6c577fb276dc1b4e95","ref":"refs/heads/litex2","pushedAt":"2024-08-22T01:08:47.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Adding support for Litex\n\nThere is a naming conflict of the ALU module which prevents a\nsuccessful synthesis with Yosys. This patch fixes this conflict.\n\nIn addition, this patch introduces the configurations expected\nby Litex when generating an SoC\n\nThis patch also adds a generator for System Verilog which works with Yosys","shortMessageHtmlLink":"Adding support for Litex"}},{"before":"8166b38a42ca617b54ccb7dd8892f841abefffee","after":"b37bcfb2220c08eedbc350d21fb2920c73d232a9","ref":"refs/heads/litex2","pushedAt":"2024-08-21T23:35:51.000Z","pushType":"push","commitsCount":300,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Merge branch 'master' into litex2","shortMessageHtmlLink":"Merge branch 'master' into litex2"}},{"before":"9791a5e458bc138710b3d27481e811ae2770520f","after":"8166b38a42ca617b54ccb7dd8892f841abefffee","ref":"refs/heads/litex2","pushedAt":"2024-08-21T23:33:02.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Adding support for Litex\n\nThere is a naming conflict of the ALU module which prevents a\nsuccessful synthesis with Yosys. This patch fixes this conflict.\n\nIn addition, this patch introduces the configurations expected\nby Litex when generating an SoC\n\nThis patch also adds a generator for System Verilog which works with Yosys","shortMessageHtmlLink":"Adding support for Litex"}},{"before":"8cef024dc689e7a443d4eed3a4c9920730eaf1d0","after":"9791a5e458bc138710b3d27481e811ae2770520f","ref":"refs/heads/litex2","pushedAt":"2024-08-21T23:29:51.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Add a generator which works with Yosys\n\nYosys can't handle automatic logic within always blocks","shortMessageHtmlLink":"Add a generator which works with Yosys"}},{"before":"c8d8e0cd58747151d880015e47042768a63e3949","after":"8cef024dc689e7a443d4eed3a4c9920730eaf1d0","ref":"refs/heads/litex2","pushedAt":"2024-08-21T23:28:54.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Add a generator which works with Yosys\n\nYosys can't handle automatic logic within always blocks","shortMessageHtmlLink":"Add a generator which works with Yosys"}},{"before":"6aef6dd88409d4e20696450f6b6bc3225fa061c5","after":"c8d8e0cd58747151d880015e47042768a63e3949","ref":"refs/heads/litex2","pushedAt":"2024-08-21T08:03:36.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Adding support for Litex\n\nThere is a naming conflict of the ALU module which prevents a\nsuccessful synthesis with Yosys. This patch fixes this conflict.\n\nIn addition, this patch introduces the configurations expected\nby Litex when generating an SoC","shortMessageHtmlLink":"Adding support for Litex"}},{"before":"37e9e99c5c89d51ac5a04b6a2fe760ee5b108332","after":"6aef6dd88409d4e20696450f6b6bc3225fa061c5","ref":"refs/heads/litex2","pushedAt":"2024-08-20T22:14:03.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Adding support for Litex\n\nThere is a naming conflict of the ALU module which prevents a\nsuccessful synthesis with Yosys. This patch fixes this conflict.\n\nIn addition, this patch introduces the configurations expected\nby Litex when generating an SoC","shortMessageHtmlLink":"Adding support for Litex"}},{"before":"fe2adcb27f78fc7ca2f5ee20642d82e722bb2490","after":"37e9e99c5c89d51ac5a04b6a2fe760ee5b108332","ref":"refs/heads/litex2","pushedAt":"2024-08-19T06:03:56.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Getting Litex configs to be recognized and generated\n\nFinally got the Verilog export of the core configuration Litex wants working.\nNow I've got to adapt Litex for using it.","shortMessageHtmlLink":"Getting Litex configs to be recognized and generated"}},{"before":null,"after":"fe2adcb27f78fc7ca2f5ee20642d82e722bb2490","ref":"refs/heads/litex2","pushedAt":"2024-08-19T04:41:02.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Adding the Litex configs","shortMessageHtmlLink":"Adding the Litex configs"}},{"before":null,"after":"4eaca9794d8c083430558fc0048f8bf69b4bb2d8","ref":"refs/heads/litex1","pushedAt":"2024-08-19T03:44:23.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"leviathanch","name":"David Lanzendörfer","path":"/leviathanch","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/6307347?s=80&v=4"},"commit":{"message":"Solve naming conflict with Yosys\n\nYosys and other synthesis tools already have internal ALU blocks which\ncollide with a module named ALU","shortMessageHtmlLink":"Solve naming conflict with Yosys"}}],"hasNextPage":false,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"cursor":"Y3Vyc29yOnYyOpK7MjAyNC0wOC0yMlQwMTowODo0Ny4wMDAwMDBazwAAAASgxHNz","startCursor":"Y3Vyc29yOnYyOpK7MjAyNC0wOC0yMlQwMTowODo0Ny4wMDAwMDBazwAAAASgxHNz","endCursor":"Y3Vyc29yOnYyOpK7MjAyNC0wOC0xOVQwMzo0NDoyMy4wMDAwMDBazwAAAASdm7ud"}},"title":"Activity · libresilicon/rocket-chip"}