-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy patheth_seq_tx.sv
44 lines (37 loc) · 1.16 KB
/
eth_seq_tx.sv
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
class eth_seq_item extends uvm_sequence_item;
//data and control ports
rand bit [31:0] inDataA;
rand bit inSopA;
rand bit inEopA;
rand bit [31:0] inDataB;
rand bit inSopB;
rand bit inEopB;
rand bit [31:0] outDataA;
rand bit outSopA;
rand bit outEopA;
rand bit [31:0] outDataB;
rand bit outSopB;
rand bit outEopB;
rand bit portAStall;
rand bit portBStall;
//Utility and Field macros,
`uvm_object_utils_begin(eth_seq_item)
`uvm_field_int(inDataA,UVM_ALL_ON)
`uvm_field_int(inSopA,UVM_ALL_ON)
`uvm_field_int(inEopA,UVM_ALL_ON)
`uvm_field_int(inDataB,UVM_ALL_ON)
`uvm_field_int(inSopB,UVM_ALL_ON)
`uvm_field_int(inEopB,UVM_ALL_ON)
`uvm_field_int(outDataA,UVM_ALL_ON)
`uvm_field_int(outSopA,UVM_ALL_ON)
`uvm_field_int(outEopA,UVM_ALL_ON)
`uvm_field_int(outDataB,UVM_ALL_ON)
`uvm_field_int(outSopB,UVM_ALL_ON)
`uvm_field_int(outEopB,UVM_ALL_ON)
`uvm_field_int(portAStall,UVM_ALL_ON)
`uvm_field_int(portBStall,UVM_ALL_ON)
`uvm_object_utils_end
function new(string name="eth_seq_item");
super.new(name);
endfunction
endclass:eth_seq_item