uio_num);
+ return line_from_file(file, info->name);
+}
+
+static int uio_info_read_version(axi_qpsk_rx_rrc_uio_info* info) {
+ char file[ MAX_UIO_PATH_SIZE ];
+ sprintf(file, "/sys/class/uio/uio%d/version", info->uio_num);
+ return line_from_file(file, info->version);
+}
+
+static int uio_info_read_map_addr(axi_qpsk_rx_rrc_uio_info* info, int n) {
+ int ret;
+ char file[ MAX_UIO_PATH_SIZE ];
+ info->maps[n].addr = UIO_INVALID_ADDR;
+ sprintf(file, "/sys/class/uio/uio%d/maps/map%d/addr", info->uio_num, n);
+ FILE* fp = fopen(file, "r");
+ if (!fp) return -1;
+ ret = fscanf(fp, "0x%x", &info->maps[n].addr);
+ fclose(fp);
+ if (ret < 0) return -2;
+ return 0;
+}
+
+static int uio_info_read_map_size(axi_qpsk_rx_rrc_uio_info* info, int n) {
+ int ret;
+ char file[ MAX_UIO_PATH_SIZE ];
+ sprintf(file, "/sys/class/uio/uio%d/maps/map%d/size", info->uio_num, n);
+ FILE* fp = fopen(file, "r");
+ if (!fp) return -1;
+ ret = fscanf(fp, "0x%x", &info->maps[n].size);
+ fclose(fp);
+ if (ret < 0) return -2;
+ return 0;
+}
+
+int axi_qpsk_rx_rrc_Initialize(axi_qpsk_rx_rrc *InstancePtr, const char* InstanceName) {
+ axi_qpsk_rx_rrc_uio_info *InfoPtr = &uio_info;
+ struct dirent **namelist;
+ int i, n;
+ char* s;
+ char file[ MAX_UIO_PATH_SIZE ];
+ char name[ MAX_UIO_NAME_SIZE ];
+ int flag = 0;
+
+ assert(InstancePtr != NULL);
+
+ n = scandir("/sys/class/uio", &namelist, 0, alphasort);
+ if (n < 0) return XST_DEVICE_NOT_FOUND;
+ for (i = 0; i < n; i++) {
+ strcpy(file, "/sys/class/uio/");
+ strcat(file, namelist[i]->d_name);
+ strcat(file, "/name");
+ if ((line_from_file(file, name) == 0) && (strcmp(name, InstanceName) == 0)) {
+ flag = 1;
+ s = namelist[i]->d_name;
+ s += 3; // "uio"
+ InfoPtr->uio_num = atoi(s);
+ break;
+ }
+ }
+ if (flag == 0) return XST_DEVICE_NOT_FOUND;
+
+ uio_info_read_name(InfoPtr);
+ uio_info_read_version(InfoPtr);
+ for (n = 0; n < MAX_UIO_MAPS; ++n) {
+ uio_info_read_map_addr(InfoPtr, n);
+ uio_info_read_map_size(InfoPtr, n);
+ }
+
+ sprintf(file, "/dev/uio%d", InfoPtr->uio_num);
+ if ((InfoPtr->uio_fd = open(file, O_RDWR)) < 0) {
+ return XST_OPEN_DEVICE_FAILED;
+ }
+
+ // NOTE: slave interface '' should be mapped to uioX/map0
+ InstancePtr->axi_qpsk_rx_rrc_BaseAddress = (u32)mmap(NULL, InfoPtr->maps[0].size, PROT_READ|PROT_WRITE, MAP_SHARED, InfoPtr->uio_fd, 0 * getpagesize());
+ assert(InstancePtr->axi_qpsk_rx_rrc_BaseAddress);
+
+ InstancePtr->IsReady = XIL_COMPONENT_IS_READY;
+
+ return XST_SUCCESS;
+}
+
+int axi_qpsk_rx_rrc_Release(axi_qpsk_rx_rrc *InstancePtr) {
+ axi_qpsk_rx_rrc_uio_info *InfoPtr = &uio_info;
+
+ assert(InstancePtr != NULL);
+ assert(InstancePtr->IsReady == XIL_COMPONENT_IS_READY);
+
+ munmap((void*)InstancePtr->axi_qpsk_rx_rrc_BaseAddress, InfoPtr->maps[0].size);
+
+ close(InfoPtr->uio_fd);
+
+ return XST_SUCCESS;
+}
+
+#endif
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_mod.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_mod.vhd
old mode 100755
new mode 100644
similarity index 98%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_mod.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_mod.vhd
index 065f6c8..db926bf
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_mod.vhd
+++ b/boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_mod.vhd
@@ -1,70 +1,70 @@
--- Generated from Simulink block
-library IEEE;
-use IEEE.std_logic_1164.all;
-library xil_defaultlib;
-entity axi_qpsk_rx_rrc_stub is
- port (
- s_axis_tdata : in std_logic_vector( 32-1 downto 0 );
- s_axis_tvalid : in std_logic_vector( 1-1 downto 0 );
- m_axis_tready : in std_logic_vector( 1-1 downto 0 );
- m_axis_tap_tready : in std_logic_vector( 1-1 downto 0 );
- clk : in std_logic;
- axi_qpsk_rx_rrc_aresetn : in std_logic;
- axi_qpsk_rx_rrc_s_axi_awaddr : in std_logic_vector( 5-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_awvalid : in std_logic;
- axi_qpsk_rx_rrc_s_axi_wdata : in std_logic_vector( 32-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_wstrb : in std_logic_vector( 4-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_wvalid : in std_logic;
- axi_qpsk_rx_rrc_s_axi_bready : in std_logic;
- axi_qpsk_rx_rrc_s_axi_araddr : in std_logic_vector( 5-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_arvalid : in std_logic;
- axi_qpsk_rx_rrc_s_axi_rready : in std_logic;
- m_axis_tdata : out std_logic_vector( 32-1 downto 0 );
- m_axis_tvalid : out std_logic_vector( 1-1 downto 0 );
- m_axis_tap_tdata : out std_logic_vector( 32-1 downto 0 );
- m_axis_tap_tlast : out std_logic_vector( 1-1 downto 0 );
- m_axis_tap_tvalid : out std_logic_vector( 1-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_awready : out std_logic;
- axi_qpsk_rx_rrc_s_axi_wready : out std_logic;
- axi_qpsk_rx_rrc_s_axi_bresp : out std_logic_vector( 2-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_bvalid : out std_logic;
- axi_qpsk_rx_rrc_s_axi_arready : out std_logic;
- axi_qpsk_rx_rrc_s_axi_rdata : out std_logic_vector( 32-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_rresp : out std_logic_vector( 2-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_rvalid : out std_logic
- );
-end axi_qpsk_rx_rrc_stub;
-architecture structural of axi_qpsk_rx_rrc_stub is
-begin
- sysgen_dut : entity xil_defaultlib.axi_qpsk_rx_rrc_0
- port map (
- s_axis_tdata => s_axis_tdata,
- s_axis_tvalid => s_axis_tvalid,
- m_axis_tready => m_axis_tready,
- m_axis_tap_tready => m_axis_tap_tready,
- clk => clk,
- axi_qpsk_rx_rrc_aresetn => axi_qpsk_rx_rrc_aresetn,
- axi_qpsk_rx_rrc_s_axi_awaddr => axi_qpsk_rx_rrc_s_axi_awaddr,
- axi_qpsk_rx_rrc_s_axi_awvalid => axi_qpsk_rx_rrc_s_axi_awvalid,
- axi_qpsk_rx_rrc_s_axi_wdata => axi_qpsk_rx_rrc_s_axi_wdata,
- axi_qpsk_rx_rrc_s_axi_wstrb => axi_qpsk_rx_rrc_s_axi_wstrb,
- axi_qpsk_rx_rrc_s_axi_wvalid => axi_qpsk_rx_rrc_s_axi_wvalid,
- axi_qpsk_rx_rrc_s_axi_bready => axi_qpsk_rx_rrc_s_axi_bready,
- axi_qpsk_rx_rrc_s_axi_araddr => axi_qpsk_rx_rrc_s_axi_araddr,
- axi_qpsk_rx_rrc_s_axi_arvalid => axi_qpsk_rx_rrc_s_axi_arvalid,
- axi_qpsk_rx_rrc_s_axi_rready => axi_qpsk_rx_rrc_s_axi_rready,
- m_axis_tdata => m_axis_tdata,
- m_axis_tvalid => m_axis_tvalid,
- m_axis_tap_tdata => m_axis_tap_tdata,
- m_axis_tap_tlast => m_axis_tap_tlast,
- m_axis_tap_tvalid => m_axis_tap_tvalid,
- axi_qpsk_rx_rrc_s_axi_awready => axi_qpsk_rx_rrc_s_axi_awready,
- axi_qpsk_rx_rrc_s_axi_wready => axi_qpsk_rx_rrc_s_axi_wready,
- axi_qpsk_rx_rrc_s_axi_bresp => axi_qpsk_rx_rrc_s_axi_bresp,
- axi_qpsk_rx_rrc_s_axi_bvalid => axi_qpsk_rx_rrc_s_axi_bvalid,
- axi_qpsk_rx_rrc_s_axi_arready => axi_qpsk_rx_rrc_s_axi_arready,
- axi_qpsk_rx_rrc_s_axi_rdata => axi_qpsk_rx_rrc_s_axi_rdata,
- axi_qpsk_rx_rrc_s_axi_rresp => axi_qpsk_rx_rrc_s_axi_rresp,
- axi_qpsk_rx_rrc_s_axi_rvalid => axi_qpsk_rx_rrc_s_axi_rvalid
- );
-end structural;
+-- Generated from Simulink block
+library IEEE;
+use IEEE.std_logic_1164.all;
+library xil_defaultlib;
+entity axi_qpsk_rx_rrc_stub is
+ port (
+ s_axis_tdata : in std_logic_vector( 32-1 downto 0 );
+ s_axis_tvalid : in std_logic_vector( 1-1 downto 0 );
+ m_axis_tready : in std_logic_vector( 1-1 downto 0 );
+ m_axis_tap_tready : in std_logic_vector( 1-1 downto 0 );
+ clk : in std_logic;
+ axi_qpsk_rx_rrc_aresetn : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_awaddr : in std_logic_vector( 5-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_awvalid : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_wdata : in std_logic_vector( 32-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_wstrb : in std_logic_vector( 4-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_wvalid : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_bready : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_araddr : in std_logic_vector( 5-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_arvalid : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_rready : in std_logic;
+ m_axis_tdata : out std_logic_vector( 32-1 downto 0 );
+ m_axis_tvalid : out std_logic_vector( 1-1 downto 0 );
+ m_axis_tap_tdata : out std_logic_vector( 32-1 downto 0 );
+ m_axis_tap_tlast : out std_logic_vector( 1-1 downto 0 );
+ m_axis_tap_tvalid : out std_logic_vector( 1-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_awready : out std_logic;
+ axi_qpsk_rx_rrc_s_axi_wready : out std_logic;
+ axi_qpsk_rx_rrc_s_axi_bresp : out std_logic_vector( 2-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_bvalid : out std_logic;
+ axi_qpsk_rx_rrc_s_axi_arready : out std_logic;
+ axi_qpsk_rx_rrc_s_axi_rdata : out std_logic_vector( 32-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_rresp : out std_logic_vector( 2-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_rvalid : out std_logic
+ );
+end axi_qpsk_rx_rrc_stub;
+architecture structural of axi_qpsk_rx_rrc_stub is
+begin
+ sysgen_dut : entity xil_defaultlib.axi_qpsk_rx_rrc_0
+ port map (
+ s_axis_tdata => s_axis_tdata,
+ s_axis_tvalid => s_axis_tvalid,
+ m_axis_tready => m_axis_tready,
+ m_axis_tap_tready => m_axis_tap_tready,
+ clk => clk,
+ axi_qpsk_rx_rrc_aresetn => axi_qpsk_rx_rrc_aresetn,
+ axi_qpsk_rx_rrc_s_axi_awaddr => axi_qpsk_rx_rrc_s_axi_awaddr,
+ axi_qpsk_rx_rrc_s_axi_awvalid => axi_qpsk_rx_rrc_s_axi_awvalid,
+ axi_qpsk_rx_rrc_s_axi_wdata => axi_qpsk_rx_rrc_s_axi_wdata,
+ axi_qpsk_rx_rrc_s_axi_wstrb => axi_qpsk_rx_rrc_s_axi_wstrb,
+ axi_qpsk_rx_rrc_s_axi_wvalid => axi_qpsk_rx_rrc_s_axi_wvalid,
+ axi_qpsk_rx_rrc_s_axi_bready => axi_qpsk_rx_rrc_s_axi_bready,
+ axi_qpsk_rx_rrc_s_axi_araddr => axi_qpsk_rx_rrc_s_axi_araddr,
+ axi_qpsk_rx_rrc_s_axi_arvalid => axi_qpsk_rx_rrc_s_axi_arvalid,
+ axi_qpsk_rx_rrc_s_axi_rready => axi_qpsk_rx_rrc_s_axi_rready,
+ m_axis_tdata => m_axis_tdata,
+ m_axis_tvalid => m_axis_tvalid,
+ m_axis_tap_tdata => m_axis_tap_tdata,
+ m_axis_tap_tlast => m_axis_tap_tlast,
+ m_axis_tap_tvalid => m_axis_tap_tvalid,
+ axi_qpsk_rx_rrc_s_axi_awready => axi_qpsk_rx_rrc_s_axi_awready,
+ axi_qpsk_rx_rrc_s_axi_wready => axi_qpsk_rx_rrc_s_axi_wready,
+ axi_qpsk_rx_rrc_s_axi_bresp => axi_qpsk_rx_rrc_s_axi_bresp,
+ axi_qpsk_rx_rrc_s_axi_bvalid => axi_qpsk_rx_rrc_s_axi_bvalid,
+ axi_qpsk_rx_rrc_s_axi_arready => axi_qpsk_rx_rrc_s_axi_arready,
+ axi_qpsk_rx_rrc_s_axi_rdata => axi_qpsk_rx_rrc_s_axi_rdata,
+ axi_qpsk_rx_rrc_s_axi_rresp => axi_qpsk_rx_rrc_s_axi_rresp,
+ axi_qpsk_rx_rrc_s_axi_rvalid => axi_qpsk_rx_rrc_s_axi_rvalid
+ );
+end structural;
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_sinit.c b/boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_sinit.c
old mode 100755
new mode 100644
similarity index 96%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_sinit.c
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_sinit.c
index 3b7d895..e005db1
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_sinit.c
+++ b/boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_sinit.c
@@ -1,52 +1,52 @@
-/**
-* @file axi_qpsk_rx_rrc_sinit.c
-*
-* The implementation of the axi_qpsk_rx_rrc driver's static initialzation
-* functionality.
-*
-* @note
-*
-* None
-*
-*/
-#ifndef __linux__
-#include "xstatus.h"
-#include "xparameters.h"
-#include "axi_qpsk_rx_rrc.h"
-extern axi_qpsk_rx_rrc_Config axi_qpsk_rx_rrc_ConfigTable[];
-/**
-* Lookup the device configuration based on the unique device ID. The table
-* ConfigTable contains the configuration info for each device in the system.
-*
-* @param DeviceId is the device identifier to lookup.
-*
-* @return
-* - A pointer of data type axi_qpsk_rx_rrc_Config which
-* points to the device configuration if DeviceID is found.
-* - NULL if DeviceID is not found.
-*
-* @note None.
-*
-*/
-axi_qpsk_rx_rrc_Config *axi_qpsk_rx_rrc_LookupConfig(u16 DeviceId) {
- axi_qpsk_rx_rrc_Config *ConfigPtr = NULL;
- int Index;
- for (Index = 0; Index < XPAR_AXI_QPSK_RX_RRC_NUM_INSTANCES; Index++) {
- if (axi_qpsk_rx_rrc_ConfigTable[Index].DeviceId == DeviceId) {
- ConfigPtr = &axi_qpsk_rx_rrc_ConfigTable[Index];
- break;
- }
- }
- return ConfigPtr;
-}
-int axi_qpsk_rx_rrc_Initialize(axi_qpsk_rx_rrc *InstancePtr, u16 DeviceId) {
- axi_qpsk_rx_rrc_Config *ConfigPtr;
- Xil_AssertNonvoid(InstancePtr != NULL);
- ConfigPtr = axi_qpsk_rx_rrc_LookupConfig(DeviceId);
- if (ConfigPtr == NULL) {
- InstancePtr->IsReady = 0;
- return (XST_DEVICE_NOT_FOUND);
- }
- return axi_qpsk_rx_rrc_CfgInitialize(InstancePtr, ConfigPtr);
-}
-#endif
+/**
+* @file axi_qpsk_rx_rrc_sinit.c
+*
+* The implementation of the axi_qpsk_rx_rrc driver's static initialzation
+* functionality.
+*
+* @note
+*
+* None
+*
+*/
+#ifndef __linux__
+#include "xstatus.h"
+#include "xparameters.h"
+#include "axi_qpsk_rx_rrc.h"
+extern axi_qpsk_rx_rrc_Config axi_qpsk_rx_rrc_ConfigTable[];
+/**
+* Lookup the device configuration based on the unique device ID. The table
+* ConfigTable contains the configuration info for each device in the system.
+*
+* @param DeviceId is the device identifier to lookup.
+*
+* @return
+* - A pointer of data type axi_qpsk_rx_rrc_Config which
+* points to the device configuration if DeviceID is found.
+* - NULL if DeviceID is not found.
+*
+* @note None.
+*
+*/
+axi_qpsk_rx_rrc_Config *axi_qpsk_rx_rrc_LookupConfig(u16 DeviceId) {
+ axi_qpsk_rx_rrc_Config *ConfigPtr = NULL;
+ int Index;
+ for (Index = 0; Index < XPAR_AXI_QPSK_RX_RRC_NUM_INSTANCES; Index++) {
+ if (axi_qpsk_rx_rrc_ConfigTable[Index].DeviceId == DeviceId) {
+ ConfigPtr = &axi_qpsk_rx_rrc_ConfigTable[Index];
+ break;
+ }
+ }
+ return ConfigPtr;
+}
+int axi_qpsk_rx_rrc_Initialize(axi_qpsk_rx_rrc *InstancePtr, u16 DeviceId) {
+ axi_qpsk_rx_rrc_Config *ConfigPtr;
+ Xil_AssertNonvoid(InstancePtr != NULL);
+ ConfigPtr = axi_qpsk_rx_rrc_LookupConfig(DeviceId);
+ if (ConfigPtr == NULL) {
+ InstancePtr->IsReady = 0;
+ return (XST_DEVICE_NOT_FOUND);
+ }
+ return axi_qpsk_rx_rrc_CfgInitialize(InstancePtr, ConfigPtr);
+}
+#endif
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_stub.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_stub.vhd
old mode 100755
new mode 100644
similarity index 98%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_stub.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_stub.vhd
index 99e1f3e..d6c98ce
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_stub.vhd
+++ b/boards/ip/iprepo/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_stub.vhd
@@ -1,70 +1,70 @@
--- Generated from Simulink block
-library IEEE;
-use IEEE.std_logic_1164.all;
-library xil_defaultlib;
-entity axi_qpsk_rx_rrc_stub is
- port (
- s_axis_tdata : in std_logic_vector( 32-1 downto 0 );
- s_axis_tvalid : in std_logic_vector( 1-1 downto 0 );
- m_axis_tready : in std_logic_vector( 1-1 downto 0 );
- m_axis_tap_tready : in std_logic_vector( 1-1 downto 0 );
- clk : in std_logic;
- axi_qpsk_rx_rrc_aresetn : in std_logic;
- axi_qpsk_rx_rrc_s_axi_awaddr : in std_logic_vector( 5-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_awvalid : in std_logic;
- axi_qpsk_rx_rrc_s_axi_wdata : in std_logic_vector( 32-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_wstrb : in std_logic_vector( 4-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_wvalid : in std_logic;
- axi_qpsk_rx_rrc_s_axi_bready : in std_logic;
- axi_qpsk_rx_rrc_s_axi_araddr : in std_logic_vector( 5-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_arvalid : in std_logic;
- axi_qpsk_rx_rrc_s_axi_rready : in std_logic;
- m_axis_tdata : out std_logic_vector( 32-1 downto 0 );
- m_axis_tvalid : out std_logic_vector( 1-1 downto 0 );
- m_axis_tap_tdata : out std_logic_vector( 32-1 downto 0 );
- m_axis_tap_tlast : out std_logic_vector( 1-1 downto 0 );
- m_axis_tap_tvalid : out std_logic_vector( 1-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_awready : out std_logic;
- axi_qpsk_rx_rrc_s_axi_wready : out std_logic;
- axi_qpsk_rx_rrc_s_axi_bresp : out std_logic_vector( 2-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_bvalid : out std_logic;
- axi_qpsk_rx_rrc_s_axi_arready : out std_logic;
- axi_qpsk_rx_rrc_s_axi_rdata : out std_logic_vector( 32-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_rresp : out std_logic_vector( 2-1 downto 0 );
- axi_qpsk_rx_rrc_s_axi_rvalid : out std_logic
- );
-end axi_qpsk_rx_rrc_stub;
-architecture structural of axi_qpsk_rx_rrc_stub is
-begin
- sysgen_dut : entity xil_defaultlib.axi_qpsk_rx_rrc
- port map (
- s_axis_tdata => s_axis_tdata,
- s_axis_tvalid => s_axis_tvalid,
- m_axis_tready => m_axis_tready,
- m_axis_tap_tready => m_axis_tap_tready,
- clk => clk,
- axi_qpsk_rx_rrc_aresetn => axi_qpsk_rx_rrc_aresetn,
- axi_qpsk_rx_rrc_s_axi_awaddr => axi_qpsk_rx_rrc_s_axi_awaddr,
- axi_qpsk_rx_rrc_s_axi_awvalid => axi_qpsk_rx_rrc_s_axi_awvalid,
- axi_qpsk_rx_rrc_s_axi_wdata => axi_qpsk_rx_rrc_s_axi_wdata,
- axi_qpsk_rx_rrc_s_axi_wstrb => axi_qpsk_rx_rrc_s_axi_wstrb,
- axi_qpsk_rx_rrc_s_axi_wvalid => axi_qpsk_rx_rrc_s_axi_wvalid,
- axi_qpsk_rx_rrc_s_axi_bready => axi_qpsk_rx_rrc_s_axi_bready,
- axi_qpsk_rx_rrc_s_axi_araddr => axi_qpsk_rx_rrc_s_axi_araddr,
- axi_qpsk_rx_rrc_s_axi_arvalid => axi_qpsk_rx_rrc_s_axi_arvalid,
- axi_qpsk_rx_rrc_s_axi_rready => axi_qpsk_rx_rrc_s_axi_rready,
- m_axis_tdata => m_axis_tdata,
- m_axis_tvalid => m_axis_tvalid,
- m_axis_tap_tdata => m_axis_tap_tdata,
- m_axis_tap_tlast => m_axis_tap_tlast,
- m_axis_tap_tvalid => m_axis_tap_tvalid,
- axi_qpsk_rx_rrc_s_axi_awready => axi_qpsk_rx_rrc_s_axi_awready,
- axi_qpsk_rx_rrc_s_axi_wready => axi_qpsk_rx_rrc_s_axi_wready,
- axi_qpsk_rx_rrc_s_axi_bresp => axi_qpsk_rx_rrc_s_axi_bresp,
- axi_qpsk_rx_rrc_s_axi_bvalid => axi_qpsk_rx_rrc_s_axi_bvalid,
- axi_qpsk_rx_rrc_s_axi_arready => axi_qpsk_rx_rrc_s_axi_arready,
- axi_qpsk_rx_rrc_s_axi_rdata => axi_qpsk_rx_rrc_s_axi_rdata,
- axi_qpsk_rx_rrc_s_axi_rresp => axi_qpsk_rx_rrc_s_axi_rresp,
- axi_qpsk_rx_rrc_s_axi_rvalid => axi_qpsk_rx_rrc_s_axi_rvalid
- );
-end structural;
+-- Generated from Simulink block
+library IEEE;
+use IEEE.std_logic_1164.all;
+library xil_defaultlib;
+entity axi_qpsk_rx_rrc_stub is
+ port (
+ s_axis_tdata : in std_logic_vector( 32-1 downto 0 );
+ s_axis_tvalid : in std_logic_vector( 1-1 downto 0 );
+ m_axis_tready : in std_logic_vector( 1-1 downto 0 );
+ m_axis_tap_tready : in std_logic_vector( 1-1 downto 0 );
+ clk : in std_logic;
+ axi_qpsk_rx_rrc_aresetn : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_awaddr : in std_logic_vector( 5-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_awvalid : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_wdata : in std_logic_vector( 32-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_wstrb : in std_logic_vector( 4-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_wvalid : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_bready : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_araddr : in std_logic_vector( 5-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_arvalid : in std_logic;
+ axi_qpsk_rx_rrc_s_axi_rready : in std_logic;
+ m_axis_tdata : out std_logic_vector( 32-1 downto 0 );
+ m_axis_tvalid : out std_logic_vector( 1-1 downto 0 );
+ m_axis_tap_tdata : out std_logic_vector( 32-1 downto 0 );
+ m_axis_tap_tlast : out std_logic_vector( 1-1 downto 0 );
+ m_axis_tap_tvalid : out std_logic_vector( 1-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_awready : out std_logic;
+ axi_qpsk_rx_rrc_s_axi_wready : out std_logic;
+ axi_qpsk_rx_rrc_s_axi_bresp : out std_logic_vector( 2-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_bvalid : out std_logic;
+ axi_qpsk_rx_rrc_s_axi_arready : out std_logic;
+ axi_qpsk_rx_rrc_s_axi_rdata : out std_logic_vector( 32-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_rresp : out std_logic_vector( 2-1 downto 0 );
+ axi_qpsk_rx_rrc_s_axi_rvalid : out std_logic
+ );
+end axi_qpsk_rx_rrc_stub;
+architecture structural of axi_qpsk_rx_rrc_stub is
+begin
+ sysgen_dut : entity xil_defaultlib.axi_qpsk_rx_rrc
+ port map (
+ s_axis_tdata => s_axis_tdata,
+ s_axis_tvalid => s_axis_tvalid,
+ m_axis_tready => m_axis_tready,
+ m_axis_tap_tready => m_axis_tap_tready,
+ clk => clk,
+ axi_qpsk_rx_rrc_aresetn => axi_qpsk_rx_rrc_aresetn,
+ axi_qpsk_rx_rrc_s_axi_awaddr => axi_qpsk_rx_rrc_s_axi_awaddr,
+ axi_qpsk_rx_rrc_s_axi_awvalid => axi_qpsk_rx_rrc_s_axi_awvalid,
+ axi_qpsk_rx_rrc_s_axi_wdata => axi_qpsk_rx_rrc_s_axi_wdata,
+ axi_qpsk_rx_rrc_s_axi_wstrb => axi_qpsk_rx_rrc_s_axi_wstrb,
+ axi_qpsk_rx_rrc_s_axi_wvalid => axi_qpsk_rx_rrc_s_axi_wvalid,
+ axi_qpsk_rx_rrc_s_axi_bready => axi_qpsk_rx_rrc_s_axi_bready,
+ axi_qpsk_rx_rrc_s_axi_araddr => axi_qpsk_rx_rrc_s_axi_araddr,
+ axi_qpsk_rx_rrc_s_axi_arvalid => axi_qpsk_rx_rrc_s_axi_arvalid,
+ axi_qpsk_rx_rrc_s_axi_rready => axi_qpsk_rx_rrc_s_axi_rready,
+ m_axis_tdata => m_axis_tdata,
+ m_axis_tvalid => m_axis_tvalid,
+ m_axis_tap_tdata => m_axis_tap_tdata,
+ m_axis_tap_tlast => m_axis_tap_tlast,
+ m_axis_tap_tvalid => m_axis_tap_tvalid,
+ axi_qpsk_rx_rrc_s_axi_awready => axi_qpsk_rx_rrc_s_axi_awready,
+ axi_qpsk_rx_rrc_s_axi_wready => axi_qpsk_rx_rrc_s_axi_wready,
+ axi_qpsk_rx_rrc_s_axi_bresp => axi_qpsk_rx_rrc_s_axi_bresp,
+ axi_qpsk_rx_rrc_s_axi_bvalid => axi_qpsk_rx_rrc_s_axi_bvalid,
+ axi_qpsk_rx_rrc_s_axi_arready => axi_qpsk_rx_rrc_s_axi_arready,
+ axi_qpsk_rx_rrc_s_axi_rdata => axi_qpsk_rx_rrc_s_axi_rdata,
+ axi_qpsk_rx_rrc_s_axi_rresp => axi_qpsk_rx_rrc_s_axi_rresp,
+ axi_qpsk_rx_rrc_s_axi_rvalid => axi_qpsk_rx_rrc_s_axi_rvalid
+ );
+end structural;
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/conv_pkg.v b/boards/ip/iprepo/rx/rx_rrc/sysgen/conv_pkg.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/conv_pkg.v
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/conv_pkg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/conv_pkg.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/conv_pkg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/conv_pkg.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/conv_pkg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/convert_type.v b/boards/ip/iprepo/rx/rx_rrc/sysgen/convert_type.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/convert_type.v
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/convert_type.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/index.html b/boards/ip/iprepo/rx/rx_rrc/sysgen/index.html
old mode 100755
new mode 100644
similarity index 96%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/index.html
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/index.html
index 3c8ce6e..82cde3e
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/index.html
+++ b/boards/ip/iprepo/rx/rx_rrc/sysgen/index.html
@@ -1,229 +1,229 @@
-
-
-
-
-
-
-
-
-
-axi_qpsk_rx_rrc
-
-
-
-
-
-int axi_qpsk_rx_rrc_Initialize(axi_qpsk_rx_rrc* InstancePtr, u16 DeviceId)
-
-Initialize axi_qpsk_rx_rrc pointer with a specifc instance of the device based device id.
-
- @param InstancePtr to be initialized
-
- @param DeviceId device id assigned to the device in xparameters.h
-
-
- @return int XST_SUCCESS if initialization is successful
-
-
-void axi_qpsk_rx_rrc_transfer_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
-
- Write to transfer gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the transfer instance to operate on.
- @param Data is value to be written to gateway transfer.
-
- @return None.
-
- @note .
-
-
-u32 axi_qpsk_rx_rrc_transfer_read(axi_qpsk_rx_rrc *InstancePtr);
-
- Read from transfer gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the transfer instance to operate on.
-
- @return u32
-
- @note .
-
-
-void axi_qpsk_rx_rrc_auto_restart_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
-
- Write to auto_restart gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the auto_restart instance to operate on.
- @param Data is value to be written to gateway auto_restart.
-
- @return None.
-
- @note .
-
-
-u32 axi_qpsk_rx_rrc_auto_restart_read(axi_qpsk_rx_rrc *InstancePtr);
-
- Read from auto_restart gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the auto_restart instance to operate on.
-
- @return u32
-
- @note .
-
-
-void axi_qpsk_rx_rrc_rxreset_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
-
- Write to rxreset gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the rxreset instance to operate on.
- @param Data is value to be written to gateway rxreset.
-
- @return None.
-
- @note .
-
-
-u32 axi_qpsk_rx_rrc_rxreset_read(axi_qpsk_rx_rrc *InstancePtr);
-
- Read from rxreset gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the rxreset instance to operate on.
-
- @return u32
-
- @note .
-
-
-void axi_qpsk_rx_rrc_packetsizerf_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
-
- Write to packetsizerf gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the packetsizerf instance to operate on.
- @param Data is value to be written to gateway packetsizerf.
-
- @return None.
-
- @note .
-
-
-u32 axi_qpsk_rx_rrc_packetsizerf_read(axi_qpsk_rx_rrc *InstancePtr);
-
- Read from packetsizerf gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the packetsizerf instance to operate on.
-
- @return u32
-
- @note .
-
-
-void axi_qpsk_rx_rrc_enable_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
-
- Write to enable gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the enable instance to operate on.
- @param Data is value to be written to gateway enable.
-
- @return None.
-
- @note .
-
-
-u32 axi_qpsk_rx_rrc_enable_read(axi_qpsk_rx_rrc *InstancePtr);
-
- Read from enable gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
-
- @param InstancePtr is the enable instance to operate on.
-
- @return u32
-
- @note .
-
-
-
-
+
+
+
+
+
+
+
+
+
+axi_qpsk_rx_rrc
+
+
+
+
+
+int axi_qpsk_rx_rrc_Initialize(axi_qpsk_rx_rrc* InstancePtr, u16 DeviceId)
+
+Initialize axi_qpsk_rx_rrc pointer with a specifc instance of the device based device id.
+
+ @param InstancePtr to be initialized
+
+ @param DeviceId device id assigned to the device in xparameters.h
+
+
+ @return int XST_SUCCESS if initialization is successful
+
+
+void axi_qpsk_rx_rrc_transfer_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
+
+ Write to transfer gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the transfer instance to operate on.
+ @param Data is value to be written to gateway transfer.
+
+ @return None.
+
+ @note .
+
+
+u32 axi_qpsk_rx_rrc_transfer_read(axi_qpsk_rx_rrc *InstancePtr);
+
+ Read from transfer gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the transfer instance to operate on.
+
+ @return u32
+
+ @note .
+
+
+void axi_qpsk_rx_rrc_auto_restart_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
+
+ Write to auto_restart gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the auto_restart instance to operate on.
+ @param Data is value to be written to gateway auto_restart.
+
+ @return None.
+
+ @note .
+
+
+u32 axi_qpsk_rx_rrc_auto_restart_read(axi_qpsk_rx_rrc *InstancePtr);
+
+ Read from auto_restart gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the auto_restart instance to operate on.
+
+ @return u32
+
+ @note .
+
+
+void axi_qpsk_rx_rrc_rxreset_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
+
+ Write to rxreset gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the rxreset instance to operate on.
+ @param Data is value to be written to gateway rxreset.
+
+ @return None.
+
+ @note .
+
+
+u32 axi_qpsk_rx_rrc_rxreset_read(axi_qpsk_rx_rrc *InstancePtr);
+
+ Read from rxreset gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the rxreset instance to operate on.
+
+ @return u32
+
+ @note .
+
+
+void axi_qpsk_rx_rrc_packetsizerf_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
+
+ Write to packetsizerf gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the packetsizerf instance to operate on.
+ @param Data is value to be written to gateway packetsizerf.
+
+ @return None.
+
+ @note .
+
+
+u32 axi_qpsk_rx_rrc_packetsizerf_read(axi_qpsk_rx_rrc *InstancePtr);
+
+ Read from packetsizerf gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the packetsizerf instance to operate on.
+
+ @return u32
+
+ @note .
+
+
+void axi_qpsk_rx_rrc_enable_write(axi_qpsk_rx_rrc *InstancePtr, u32 Data);
+
+ Write to enable gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the enable instance to operate on.
+ @param Data is value to be written to gateway enable.
+
+ @return None.
+
+ @note .
+
+
+u32 axi_qpsk_rx_rrc_enable_read(axi_qpsk_rx_rrc *InstancePtr);
+
+ Read from enable gateway of axi_qpsk_rx_rrc. Assignments are LSB-justified.
+
+ @param InstancePtr is the enable instance to operate on.
+
+ @return u32
+
+ @note .
+
+
+
+
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/single_reg_w_init.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/single_reg_w_init.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/single_reg_w_init.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/single_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/srl17e.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/srl17e.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/srl17e.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/srl17e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/srl33e.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/srl33e.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/srl33e.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/srl33e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg.v b/boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg.v
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg_reg.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg_reg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg_reg.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg_w_init.v b/boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg_w_init.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg_w_init.v
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg_w_init.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg_w_init.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg_w_init.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/synth_reg_w_init.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/synth_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/sysgen_icon_100.png b/boards/ip/iprepo/rx/rx_rrc/sysgen/sysgen_icon_100.png
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/sysgen_icon_100.png
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/sysgen_icon_100.png
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/vivado_ip.tcl b/boards/ip/iprepo/rx/rx_rrc/sysgen/vivado_ip.tcl
old mode 100755
new mode 100644
similarity index 98%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/vivado_ip.tcl
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/vivado_ip.tcl
index c853d42..56ddabf
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/vivado_ip.tcl
+++ b/boards/ip/iprepo/rx/rx_rrc/sysgen/vivado_ip.tcl
@@ -1,377 +1,377 @@
-#-----------------------------------------------------------------
-# System Generator version 2020.1 IP Tcl source file.
-#
-# Copyright(C) 2020 by Xilinx, Inc. All rights reserved. This
-# text/file contains proprietary, confidential information of Xilinx,
-# Inc., is distributed under license from Xilinx, Inc., and may be used,
-# copied and/or disclosed only pursuant to the terms of a valid license
-# agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-# this text/file solely for design, simulation, implementation and
-# creation of design files limited to Xilinx devices or technologies.
-# Use with non-Xilinx devices or technologies is expressly prohibited
-# and immediately terminates your license unless covered by a separate
-# agreement.
-#
-# Xilinx is providing this design, code, or information "as is" solely
-# for use in developing programs and solutions for Xilinx devices. By
-# providing this design, code, or information as one possible
-# implementation of this feature, application or standard, Xilinx is
-# making no representation that this implementation is free from any
-# claims of infringement. You are responsible for obtaining any rights
-# you may require for your implementation. Xilinx expressly disclaims
-# any warranty whatsoever with respect to the adequacy of the
-# implementation, including but not limited to warranties of
-# merchantability or fitness for a particular purpose.
-#
-# Xilinx products are not intended for use in life support appliances,
-# devices, or systems. Use in such applications is expressly prohibited.
-#
-# Any modifications that are made to the source code are done at the user's
-# sole risk and will be unsupported.
-#
-# This copyright and support notice must be retained as part of this
-# text at all times. (c) Copyright 1995-2020 Xilinx, Inc. All rights
-# reserved.
-#-----------------------------------------------------------------
-
-set existingipslist [get_ips]
-if {[lsearch $existingipslist axi_qpsk_rx_rrc_c_counter_binary_v12_0_i0] < 0} {
-create_ip -name c_counter_binary -version 12.0 -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_c_counter_binary_v12_0_i0
-set params_list [list]
-lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_c_counter_binary_v12_0_i0}
-lappend params_list CONFIG.ainit_value {0}
-lappend params_list CONFIG.ce {true}
-lappend params_list CONFIG.count_mode {UP}
-lappend params_list CONFIG.fb_latency {0}
-lappend params_list CONFIG.final_count_value {1}
-lappend params_list CONFIG.implementation {Fabric}
-lappend params_list CONFIG.increment_value {1}
-lappend params_list CONFIG.latency {1}
-lappend params_list CONFIG.load {false}
-lappend params_list CONFIG.output_width {32}
-lappend params_list CONFIG.restrict_count {false}
-lappend params_list CONFIG.sclr {false}
-lappend params_list CONFIG.sinit {true}
-lappend params_list CONFIG.sinit_value {0}
-lappend params_list CONFIG.sset {false}
-lappend params_list CONFIG.sync_ce_priority {Sync_Overrides_CE}
-lappend params_list CONFIG.sync_threshold_output {false}
-lappend params_list CONFIG.syncctrlpriority {Reset_Overrides_Set}
-
-set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_c_counter_binary_v12_0_i0]
-}
-
-
-set existingipslist [get_ips]
-if {[lsearch $existingipslist axi_qpsk_rx_rrc_fifo_generator_i0] < 0} {
-create_ip -name fifo_generator -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_fifo_generator_i0
-set params_list [list]
-lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_fifo_generator_i0}
-lappend params_list CONFIG.almost_empty_flag {false}
-lappend params_list CONFIG.almost_full_flag {false}
-lappend params_list CONFIG.data_count {true}
-lappend params_list CONFIG.data_count_width {12}
-lappend params_list CONFIG.disable_timing_violations {false}
-lappend params_list CONFIG.dout_reset_value {0}
-lappend params_list CONFIG.enable_ecc {false}
-lappend params_list CONFIG.enable_reset_synchronization {true}
-lappend params_list CONFIG.enable_safety_circuit {false}
-lappend params_list CONFIG.fifo_implementation {Common_Clock_Block_RAM}
-lappend params_list CONFIG.full_flags_reset_value {0}
-lappend params_list CONFIG.inject_dbit_error {false}
-lappend params_list CONFIG.inject_sbit_error {false}
-lappend params_list CONFIG.input_data_width {32}
-lappend params_list CONFIG.input_depth {4096}
-lappend params_list CONFIG.output_data_width {32}
-lappend params_list CONFIG.output_depth {4096}
-lappend params_list CONFIG.overflow_flag {false}
-lappend params_list CONFIG.overflow_sense {Active_High}
-lappend params_list CONFIG.performance_options {Standard_FIFO}
-lappend params_list CONFIG.programmable_empty_type {No_Programmable_Empty_Threshold}
-lappend params_list CONFIG.programmable_full_type {No_Programmable_Full_Threshold}
-lappend params_list CONFIG.reset_pin {true}
-lappend params_list CONFIG.underflow_flag {false}
-lappend params_list CONFIG.underflow_sense {Active_High}
-lappend params_list CONFIG.use_dout_reset {true}
-lappend params_list CONFIG.use_embedded_registers {false}
-lappend params_list CONFIG.use_extra_logic {false}
-lappend params_list CONFIG.valid_flag {false}
-lappend params_list CONFIG.valid_sense {Active_High}
-lappend params_list CONFIG.write_acknowledge_flag {false}
-lappend params_list CONFIG.write_acknowledge_sense {Active_High}
-
-set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_fifo_generator_i0]
-}
-
-
-set existingipslist [get_ips]
-if {[lsearch $existingipslist axi_qpsk_rx_rrc_fir_compiler_v7_2_i0] < 0} {
-create_ip -name fir_compiler -version 7.2 -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_fir_compiler_v7_2_i0
-set params_list [list]
-lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_fir_compiler_v7_2_i0}
-lappend params_list CONFIG.BestPrecision {true}
-lappend params_list CONFIG.Blank_Output {false}
-lappend params_list CONFIG.Channel_Sequence {Basic}
-lappend params_list CONFIG.Clock_Frequency {300.0}
-lappend params_list CONFIG.CoefficientSource {Vector}
-lappend params_list CONFIG.CoefficientVector {binary:820CBA1CE28F41BFEA516D3669F9503FD6BCBA427DC0633FADEA7A366B8D6A3F18971D0DCFED6A3FF98EAA2B715E643FA753B4848B1F503F8FA3E68454F24CBF99BFB9265FBB65BF5EBCA9F4E86D6FBF0E99CCABF58D70BFF7279E89483969BF0CA0C119D0FD50BF6805FA4D91EC5B3F1107B9FEE88A723FC009207951697B3F6E9645B2CBBB7E3F93470C6E538B7A3F83FC41EADFC16C3F35C11097698051BFC60619649A7F7ABFB732DA6C80B087BFFE93C9EE7AEA8EBFC62868E8DF4A90BF7A2F68F0362A8BBFFF23800C73D27CBFA38D0DD34071613F6BDBBCDFFC488A3F4744107351A4973FDE0A926D74599F3F47A95AD63809A13FD357DFDD60379E3F027E6B4F5F35933F44659B3428915C3F49AB5C4088E993BF714CE9C51E3EA5BF6912CED177D4AEBFCC560F719937B2BF1EFAA5D9CAFCB1BFB391DDC495EDABBF1584C604294297BFA7BE65F64D10993F5A19BAC1DE87B53FD5F7AED26F5EC33F9F57FB845224CC3F2C54FB538319D23F74F9DC2FE457D53FD31611576072D73F0E9E0827DF2CD83FD31611576072D73F74F9DC2FE457D53F2C54FB538319D23F9F57FB845224CC3FD5F7AED26F5EC33F5A19BAC1DE87B53FA7BE65F64D10993F1584C604294297BFB391DDC495EDABBF1EFAA5D9CAFCB1BFCC560F719937B2BF6912CED177D4AEBF714CE9C51E3EA5BF49AB5C4088E993BF44659B3428915C3F027E6B4F5F35933FD357DFDD60379E3F47A95AD63809A13FDE0A926D74599F3F4744107351A4973F6BDBBCDFFC488A3FA38D0DD34071613FFF23800C73D27CBF7A2F68F0362A8BBFC62868E8DF4A90BFFE93C9EE7AEA8EBFB732DA6C80B087BFC60619649A7F7ABF35C11097698051BF83FC41EADFC16C3F93470C6E538B7A3F6E9645B2CBBB7E3FC009207951697B3F1107B9FEE88A723F6805FA4D91EC5B3F0CA0C119D0FD50BFF7279E89483969BF0E99CCABF58D70BF5EBCA9F4E86D6FBF99BFB9265FBB65BF8FA3E68454F24CBFA753B4848B1F503FF98EAA2B715E643F18971D0DCFED6A3FADEA7A366B8D6A3FD6BCBA427DC0633FEA516D3669F9503F820CBA1CE28F41BF}
-lappend params_list CONFIG.Coefficient_Buffer_Type {Automatic}
-lappend params_list CONFIG.Coefficient_Fanout {false}
-lappend params_list CONFIG.Coefficient_File {no_coe_file_loaded}
-lappend params_list CONFIG.Coefficient_Fractional_Bits {16}
-lappend params_list CONFIG.Coefficient_Reload {false}
-lappend params_list CONFIG.Coefficient_Sets {1}
-lappend params_list CONFIG.Coefficient_Sign {Signed}
-lappend params_list CONFIG.Coefficient_Structure {Inferred}
-lappend params_list CONFIG.Coefficient_Width {16}
-lappend params_list CONFIG.ColumnConfig {1}
-lappend params_list CONFIG.Control_Broadcast_Fanout {false}
-lappend params_list CONFIG.Control_Column_Fanout {false}
-lappend params_list CONFIG.Control_LUT_Pipeline {false}
-lappend params_list CONFIG.Control_Path_Fanout {false}
-lappend params_list CONFIG.DATA_Has_TLAST {Not_Required}
-lappend params_list CONFIG.DATA_TUSER_Width {1}
-lappend params_list CONFIG.Data_Buffer_Type {Automatic}
-lappend params_list CONFIG.Data_Fractional_Bits {14}
-lappend params_list CONFIG.Data_Path_Broadcast {false}
-lappend params_list CONFIG.Data_Path_Fanout {false}
-lappend params_list CONFIG.Data_Sign {Signed}
-lappend params_list CONFIG.Data_Width {16}
-lappend params_list CONFIG.Decimation_Rate {1}
-lappend params_list CONFIG.Disable_Half_Band_Centre_Tap {false}
-lappend params_list CONFIG.DisplayReloadOrder {false}
-lappend params_list CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate}
-lappend params_list CONFIG.Filter_Selection {1}
-lappend params_list CONFIG.Filter_Type {Single_Rate}
-lappend params_list CONFIG.GUI_Behaviour {Sysgen_uUPP}
-lappend params_list CONFIG.Gen_MIF_Files {false}
-lappend params_list CONFIG.Gen_MIF_from_COE {false}
-lappend params_list CONFIG.Gen_MIF_from_Spec {false}
-lappend params_list CONFIG.HardwareOversamplingRate {1}
-lappend params_list CONFIG.Has_ACLKEN {true}
-lappend params_list CONFIG.Has_ARESETn {false}
-lappend params_list CONFIG.Input_Buffer_Type {Automatic}
-lappend params_list CONFIG.Inter_Column_Pipe_Length {4}
-lappend params_list CONFIG.Interpolation_Rate {1}
-lappend params_list CONFIG.M_DATA_Has_TREADY {false}
-lappend params_list CONFIG.M_DATA_Has_TUSER {Not_Required}
-lappend params_list CONFIG.Multi_Column_Support {Automatic}
-lappend params_list CONFIG.No_BRAM_Read_First_Mode {false}
-lappend params_list CONFIG.No_SRL_Attributes {false}
-lappend params_list CONFIG.Num_Reload_Slots {1}
-lappend params_list CONFIG.Number_Channels {1}
-lappend params_list CONFIG.Number_Paths {1}
-lappend params_list CONFIG.Optimal_Column_Lengths {false}
-lappend params_list CONFIG.Optimization_Goal {Area}
-lappend params_list CONFIG.Optimization_List {None}
-lappend params_list CONFIG.Optimization_Selection {None}
-lappend params_list CONFIG.Other {false}
-lappend params_list CONFIG.Output_Buffer_Type {Automatic}
-lappend params_list CONFIG.Output_Rounding_Mode {Full_Precision}
-lappend params_list CONFIG.Output_Width {35}
-lappend params_list CONFIG.Passband_Max {0.50000000}
-lappend params_list CONFIG.Passband_Min {0.00000000}
-lappend params_list CONFIG.Pattern_List {P4-0,P4-1,P4-2,P4-3,P4-4}
-lappend params_list CONFIG.Pre_Adder_Pipeline {false}
-lappend params_list CONFIG.Preference_For_Other_Storage {Automatic}
-lappend params_list CONFIG.Quantization {Quantize_Only}
-lappend params_list CONFIG.RateSpecification {Maximum_Possible}
-lappend params_list CONFIG.Rate_Change_Type {Integer}
-lappend params_list CONFIG.Reload_File {no_coe_file_loaded}
-lappend params_list CONFIG.Reset_Data_Vector {true}
-lappend params_list CONFIG.S_CONFIG_Method {Single}
-lappend params_list CONFIG.S_CONFIG_Sync_Mode {On_Vector}
-lappend params_list CONFIG.S_DATA_Has_FIFO {false}
-lappend params_list CONFIG.S_DATA_Has_TUSER {Not_Required}
-lappend params_list CONFIG.SamplePeriod {6400}
-lappend params_list CONFIG.Sample_Frequency {0.001}
-lappend params_list CONFIG.Select_Pattern {All}
-lappend params_list CONFIG.Stopband_Max {1.00000000}
-lappend params_list CONFIG.Stopband_Min {0.50000000}
-lappend params_list CONFIG.Zero_Pack_Factor {1}
-
-set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_fir_compiler_v7_2_i0]
-}
-
-
-set existingipslist [get_ips]
-if {[lsearch $existingipslist axi_qpsk_rx_rrc_fir_compiler_v7_2_i1] < 0} {
-create_ip -name fir_compiler -version 7.2 -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_fir_compiler_v7_2_i1
-set params_list [list]
-lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_fir_compiler_v7_2_i1}
-lappend params_list CONFIG.BestPrecision {true}
-lappend params_list CONFIG.Blank_Output {false}
-lappend params_list CONFIG.Channel_Sequence {Basic}
-lappend params_list CONFIG.Clock_Frequency {300.0}
-lappend params_list CONFIG.CoefficientSource {Vector}
-lappend params_list CONFIG.CoefficientVector {binary:6616AAF46CE529BF000000000000000067223EE06DE0423F0000000000000000D665E45F672256BF0000000000000000017930360458663F0000000000000000B39AD3F93E6C74BF000000000000000017F5CB22DC66813F000000000000000078914769BF3B8CBF0000000000000000B405175B433B963F00000000000000005A68BCE78165A1BF00000000000000009A9E90387921AC3F000000000000000092C930E698C4B9BF0000000000000000E526E892D440D43F000000000000E03FE526E892D440D43F000000000000000092C930E698C4B9BF00000000000000009A9E90387921AC3F00000000000000005A68BCE78165A1BF0000000000000000B405175B433B963F000000000000000078914769BF3B8CBF000000000000000017F5CB22DC66813F0000000000000000B39AD3F93E6C74BF0000000000000000017930360458663F0000000000000000D665E45F672256BF000000000000000067223EE06DE0423F00000000000000006616AAF46CE529BF}
-lappend params_list CONFIG.Coefficient_Buffer_Type {Automatic}
-lappend params_list CONFIG.Coefficient_Fanout {false}
-lappend params_list CONFIG.Coefficient_File {no_coe_file_loaded}
-lappend params_list CONFIG.Coefficient_Fractional_Bits {15}
-lappend params_list CONFIG.Coefficient_Reload {false}
-lappend params_list CONFIG.Coefficient_Sets {1}
-lappend params_list CONFIG.Coefficient_Sign {Signed}
-lappend params_list CONFIG.Coefficient_Structure {Inferred}
-lappend params_list CONFIG.Coefficient_Width {16}
-lappend params_list CONFIG.ColumnConfig {1}
-lappend params_list CONFIG.Control_Broadcast_Fanout {false}
-lappend params_list CONFIG.Control_Column_Fanout {false}
-lappend params_list CONFIG.Control_LUT_Pipeline {false}
-lappend params_list CONFIG.Control_Path_Fanout {false}
-lappend params_list CONFIG.DATA_Has_TLAST {Not_Required}
-lappend params_list CONFIG.DATA_TUSER_Width {1}
-lappend params_list CONFIG.Data_Buffer_Type {Automatic}
-lappend params_list CONFIG.Data_Fractional_Bits {14}
-lappend params_list CONFIG.Data_Path_Broadcast {false}
-lappend params_list CONFIG.Data_Path_Fanout {false}
-lappend params_list CONFIG.Data_Sign {Signed}
-lappend params_list CONFIG.Data_Width {16}
-lappend params_list CONFIG.Decimation_Rate {1}
-lappend params_list CONFIG.Disable_Half_Band_Centre_Tap {false}
-lappend params_list CONFIG.DisplayReloadOrder {false}
-lappend params_list CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate}
-lappend params_list CONFIG.Filter_Selection {1}
-lappend params_list CONFIG.Filter_Type {Interpolation}
-lappend params_list CONFIG.GUI_Behaviour {Sysgen_uUPP}
-lappend params_list CONFIG.Gen_MIF_Files {false}
-lappend params_list CONFIG.Gen_MIF_from_COE {false}
-lappend params_list CONFIG.Gen_MIF_from_Spec {false}
-lappend params_list CONFIG.HardwareOversamplingRate {1}
-lappend params_list CONFIG.Has_ACLKEN {true}
-lappend params_list CONFIG.Has_ARESETn {false}
-lappend params_list CONFIG.Input_Buffer_Type {Automatic}
-lappend params_list CONFIG.Inter_Column_Pipe_Length {4}
-lappend params_list CONFIG.Interpolation_Rate {2}
-lappend params_list CONFIG.M_DATA_Has_TREADY {false}
-lappend params_list CONFIG.M_DATA_Has_TUSER {Not_Required}
-lappend params_list CONFIG.Multi_Column_Support {Automatic}
-lappend params_list CONFIG.No_BRAM_Read_First_Mode {false}
-lappend params_list CONFIG.No_SRL_Attributes {false}
-lappend params_list CONFIG.Num_Reload_Slots {1}
-lappend params_list CONFIG.Number_Channels {1}
-lappend params_list CONFIG.Number_Paths {1}
-lappend params_list CONFIG.Optimal_Column_Lengths {false}
-lappend params_list CONFIG.Optimization_Goal {Area}
-lappend params_list CONFIG.Optimization_List {None}
-lappend params_list CONFIG.Optimization_Selection {None}
-lappend params_list CONFIG.Other {false}
-lappend params_list CONFIG.Output_Buffer_Type {Automatic}
-lappend params_list CONFIG.Output_Rounding_Mode {Full_Precision}
-lappend params_list CONFIG.Output_Width {32}
-lappend params_list CONFIG.Passband_Max {0.50000000}
-lappend params_list CONFIG.Passband_Min {0.00000000}
-lappend params_list CONFIG.Pattern_List {P4-0,P4-1,P4-2,P4-3,P4-4}
-lappend params_list CONFIG.Pre_Adder_Pipeline {false}
-lappend params_list CONFIG.Preference_For_Other_Storage {Automatic}
-lappend params_list CONFIG.Quantization {Quantize_Only}
-lappend params_list CONFIG.RateSpecification {Maximum_Possible}
-lappend params_list CONFIG.Rate_Change_Type {Integer}
-lappend params_list CONFIG.Reload_File {no_coe_file_loaded}
-lappend params_list CONFIG.Reset_Data_Vector {true}
-lappend params_list CONFIG.S_CONFIG_Method {Single}
-lappend params_list CONFIG.S_CONFIG_Sync_Mode {On_Vector}
-lappend params_list CONFIG.S_DATA_Has_FIFO {false}
-lappend params_list CONFIG.S_DATA_Has_TUSER {Not_Required}
-lappend params_list CONFIG.SamplePeriod {6400}
-lappend params_list CONFIG.Sample_Frequency {0.001}
-lappend params_list CONFIG.Select_Pattern {All}
-lappend params_list CONFIG.Stopband_Max {1.00000000}
-lappend params_list CONFIG.Stopband_Min {0.50000000}
-lappend params_list CONFIG.Zero_Pack_Factor {1}
-
-set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_fir_compiler_v7_2_i1]
-}
-
-
-set existingipslist [get_ips]
-if {[lsearch $existingipslist axi_qpsk_rx_rrc_fir_compiler_v7_2_i2] < 0} {
-create_ip -name fir_compiler -version 7.2 -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_fir_compiler_v7_2_i2
-set params_list [list]
-lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_fir_compiler_v7_2_i2}
-lappend params_list CONFIG.BestPrecision {true}
-lappend params_list CONFIG.Blank_Output {false}
-lappend params_list CONFIG.Channel_Sequence {Basic}
-lappend params_list CONFIG.Clock_Frequency {300.0}
-lappend params_list CONFIG.CoefficientSource {Vector}
-lappend params_list CONFIG.CoefficientVector {binary:6616AAF46CE529BF000000000000000067223EE06DE0423F0000000000000000D665E45F672256BF0000000000000000017930360458663F0000000000000000B39AD3F93E6C74BF000000000000000017F5CB22DC66813F000000000000000078914769BF3B8CBF0000000000000000B405175B433B963F00000000000000005A68BCE78165A1BF00000000000000009A9E90387921AC3F000000000000000092C930E698C4B9BF0000000000000000E526E892D440D43F000000000000E03FE526E892D440D43F000000000000000092C930E698C4B9BF00000000000000009A9E90387921AC3F00000000000000005A68BCE78165A1BF0000000000000000B405175B433B963F000000000000000078914769BF3B8CBF000000000000000017F5CB22DC66813F0000000000000000B39AD3F93E6C74BF0000000000000000017930360458663F0000000000000000D665E45F672256BF000000000000000067223EE06DE0423F00000000000000006616AAF46CE529BF}
-lappend params_list CONFIG.Coefficient_Buffer_Type {Automatic}
-lappend params_list CONFIG.Coefficient_Fanout {false}
-lappend params_list CONFIG.Coefficient_File {no_coe_file_loaded}
-lappend params_list CONFIG.Coefficient_Fractional_Bits {15}
-lappend params_list CONFIG.Coefficient_Reload {false}
-lappend params_list CONFIG.Coefficient_Sets {1}
-lappend params_list CONFIG.Coefficient_Sign {Signed}
-lappend params_list CONFIG.Coefficient_Structure {Inferred}
-lappend params_list CONFIG.Coefficient_Width {16}
-lappend params_list CONFIG.ColumnConfig {1}
-lappend params_list CONFIG.Control_Broadcast_Fanout {false}
-lappend params_list CONFIG.Control_Column_Fanout {false}
-lappend params_list CONFIG.Control_LUT_Pipeline {false}
-lappend params_list CONFIG.Control_Path_Fanout {false}
-lappend params_list CONFIG.DATA_Has_TLAST {Not_Required}
-lappend params_list CONFIG.DATA_TUSER_Width {1}
-lappend params_list CONFIG.Data_Buffer_Type {Automatic}
-lappend params_list CONFIG.Data_Fractional_Bits {14}
-lappend params_list CONFIG.Data_Path_Broadcast {false}
-lappend params_list CONFIG.Data_Path_Fanout {false}
-lappend params_list CONFIG.Data_Sign {Signed}
-lappend params_list CONFIG.Data_Width {16}
-lappend params_list CONFIG.Decimation_Rate {1}
-lappend params_list CONFIG.Disable_Half_Band_Centre_Tap {false}
-lappend params_list CONFIG.DisplayReloadOrder {false}
-lappend params_list CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate}
-lappend params_list CONFIG.Filter_Selection {1}
-lappend params_list CONFIG.Filter_Type {Interpolation}
-lappend params_list CONFIG.GUI_Behaviour {Sysgen_uUPP}
-lappend params_list CONFIG.Gen_MIF_Files {false}
-lappend params_list CONFIG.Gen_MIF_from_COE {false}
-lappend params_list CONFIG.Gen_MIF_from_Spec {false}
-lappend params_list CONFIG.HardwareOversamplingRate {1}
-lappend params_list CONFIG.Has_ACLKEN {true}
-lappend params_list CONFIG.Has_ARESETn {false}
-lappend params_list CONFIG.Input_Buffer_Type {Automatic}
-lappend params_list CONFIG.Inter_Column_Pipe_Length {4}
-lappend params_list CONFIG.Interpolation_Rate {2}
-lappend params_list CONFIG.M_DATA_Has_TREADY {false}
-lappend params_list CONFIG.M_DATA_Has_TUSER {Not_Required}
-lappend params_list CONFIG.Multi_Column_Support {Automatic}
-lappend params_list CONFIG.No_BRAM_Read_First_Mode {false}
-lappend params_list CONFIG.No_SRL_Attributes {false}
-lappend params_list CONFIG.Num_Reload_Slots {1}
-lappend params_list CONFIG.Number_Channels {1}
-lappend params_list CONFIG.Number_Paths {1}
-lappend params_list CONFIG.Optimal_Column_Lengths {false}
-lappend params_list CONFIG.Optimization_Goal {Area}
-lappend params_list CONFIG.Optimization_List {None}
-lappend params_list CONFIG.Optimization_Selection {None}
-lappend params_list CONFIG.Other {false}
-lappend params_list CONFIG.Output_Buffer_Type {Automatic}
-lappend params_list CONFIG.Output_Rounding_Mode {Full_Precision}
-lappend params_list CONFIG.Output_Width {32}
-lappend params_list CONFIG.Passband_Max {0.50000000}
-lappend params_list CONFIG.Passband_Min {0.00000000}
-lappend params_list CONFIG.Pattern_List {P4-0,P4-1,P4-2,P4-3,P4-4}
-lappend params_list CONFIG.Pre_Adder_Pipeline {false}
-lappend params_list CONFIG.Preference_For_Other_Storage {Automatic}
-lappend params_list CONFIG.Quantization {Quantize_Only}
-lappend params_list CONFIG.RateSpecification {Maximum_Possible}
-lappend params_list CONFIG.Rate_Change_Type {Integer}
-lappend params_list CONFIG.Reload_File {no_coe_file_loaded}
-lappend params_list CONFIG.Reset_Data_Vector {true}
-lappend params_list CONFIG.S_CONFIG_Method {Single}
-lappend params_list CONFIG.S_CONFIG_Sync_Mode {On_Vector}
-lappend params_list CONFIG.S_DATA_Has_FIFO {false}
-lappend params_list CONFIG.S_DATA_Has_TUSER {Not_Required}
-lappend params_list CONFIG.SamplePeriod {3200}
-lappend params_list CONFIG.Sample_Frequency {0.001}
-lappend params_list CONFIG.Select_Pattern {All}
-lappend params_list CONFIG.Stopband_Max {1.00000000}
-lappend params_list CONFIG.Stopband_Min {0.50000000}
-lappend params_list CONFIG.Zero_Pack_Factor {1}
-
-set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_fir_compiler_v7_2_i2]
-}
-
-
-validate_ip [get_ips]
+#-----------------------------------------------------------------
+# System Generator version 2020.1 IP Tcl source file.
+#
+# Copyright(C) 2020 by Xilinx, Inc. All rights reserved. This
+# text/file contains proprietary, confidential information of Xilinx,
+# Inc., is distributed under license from Xilinx, Inc., and may be used,
+# copied and/or disclosed only pursuant to the terms of a valid license
+# agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
+# this text/file solely for design, simulation, implementation and
+# creation of design files limited to Xilinx devices or technologies.
+# Use with non-Xilinx devices or technologies is expressly prohibited
+# and immediately terminates your license unless covered by a separate
+# agreement.
+#
+# Xilinx is providing this design, code, or information "as is" solely
+# for use in developing programs and solutions for Xilinx devices. By
+# providing this design, code, or information as one possible
+# implementation of this feature, application or standard, Xilinx is
+# making no representation that this implementation is free from any
+# claims of infringement. You are responsible for obtaining any rights
+# you may require for your implementation. Xilinx expressly disclaims
+# any warranty whatsoever with respect to the adequacy of the
+# implementation, including but not limited to warranties of
+# merchantability or fitness for a particular purpose.
+#
+# Xilinx products are not intended for use in life support appliances,
+# devices, or systems. Use in such applications is expressly prohibited.
+#
+# Any modifications that are made to the source code are done at the user's
+# sole risk and will be unsupported.
+#
+# This copyright and support notice must be retained as part of this
+# text at all times. (c) Copyright 1995-2020 Xilinx, Inc. All rights
+# reserved.
+#-----------------------------------------------------------------
+
+set existingipslist [get_ips]
+if {[lsearch $existingipslist axi_qpsk_rx_rrc_c_counter_binary_v12_0_i0] < 0} {
+create_ip -name c_counter_binary -version 12.0 -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_c_counter_binary_v12_0_i0
+set params_list [list]
+lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_c_counter_binary_v12_0_i0}
+lappend params_list CONFIG.ainit_value {0}
+lappend params_list CONFIG.ce {true}
+lappend params_list CONFIG.count_mode {UP}
+lappend params_list CONFIG.fb_latency {0}
+lappend params_list CONFIG.final_count_value {1}
+lappend params_list CONFIG.implementation {Fabric}
+lappend params_list CONFIG.increment_value {1}
+lappend params_list CONFIG.latency {1}
+lappend params_list CONFIG.load {false}
+lappend params_list CONFIG.output_width {32}
+lappend params_list CONFIG.restrict_count {false}
+lappend params_list CONFIG.sclr {false}
+lappend params_list CONFIG.sinit {true}
+lappend params_list CONFIG.sinit_value {0}
+lappend params_list CONFIG.sset {false}
+lappend params_list CONFIG.sync_ce_priority {Sync_Overrides_CE}
+lappend params_list CONFIG.sync_threshold_output {false}
+lappend params_list CONFIG.syncctrlpriority {Reset_Overrides_Set}
+
+set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_c_counter_binary_v12_0_i0]
+}
+
+
+set existingipslist [get_ips]
+if {[lsearch $existingipslist axi_qpsk_rx_rrc_fifo_generator_i0] < 0} {
+create_ip -name fifo_generator -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_fifo_generator_i0
+set params_list [list]
+lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_fifo_generator_i0}
+lappend params_list CONFIG.almost_empty_flag {false}
+lappend params_list CONFIG.almost_full_flag {false}
+lappend params_list CONFIG.data_count {true}
+lappend params_list CONFIG.data_count_width {12}
+lappend params_list CONFIG.disable_timing_violations {false}
+lappend params_list CONFIG.dout_reset_value {0}
+lappend params_list CONFIG.enable_ecc {false}
+lappend params_list CONFIG.enable_reset_synchronization {true}
+lappend params_list CONFIG.enable_safety_circuit {false}
+lappend params_list CONFIG.fifo_implementation {Common_Clock_Block_RAM}
+lappend params_list CONFIG.full_flags_reset_value {0}
+lappend params_list CONFIG.inject_dbit_error {false}
+lappend params_list CONFIG.inject_sbit_error {false}
+lappend params_list CONFIG.input_data_width {32}
+lappend params_list CONFIG.input_depth {4096}
+lappend params_list CONFIG.output_data_width {32}
+lappend params_list CONFIG.output_depth {4096}
+lappend params_list CONFIG.overflow_flag {false}
+lappend params_list CONFIG.overflow_sense {Active_High}
+lappend params_list CONFIG.performance_options {Standard_FIFO}
+lappend params_list CONFIG.programmable_empty_type {No_Programmable_Empty_Threshold}
+lappend params_list CONFIG.programmable_full_type {No_Programmable_Full_Threshold}
+lappend params_list CONFIG.reset_pin {true}
+lappend params_list CONFIG.underflow_flag {false}
+lappend params_list CONFIG.underflow_sense {Active_High}
+lappend params_list CONFIG.use_dout_reset {true}
+lappend params_list CONFIG.use_embedded_registers {false}
+lappend params_list CONFIG.use_extra_logic {false}
+lappend params_list CONFIG.valid_flag {false}
+lappend params_list CONFIG.valid_sense {Active_High}
+lappend params_list CONFIG.write_acknowledge_flag {false}
+lappend params_list CONFIG.write_acknowledge_sense {Active_High}
+
+set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_fifo_generator_i0]
+}
+
+
+set existingipslist [get_ips]
+if {[lsearch $existingipslist axi_qpsk_rx_rrc_fir_compiler_v7_2_i0] < 0} {
+create_ip -name fir_compiler -version 7.2 -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_fir_compiler_v7_2_i0
+set params_list [list]
+lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_fir_compiler_v7_2_i0}
+lappend params_list CONFIG.BestPrecision {true}
+lappend params_list CONFIG.Blank_Output {false}
+lappend params_list CONFIG.Channel_Sequence {Basic}
+lappend params_list CONFIG.Clock_Frequency {300.0}
+lappend params_list CONFIG.CoefficientSource {Vector}
+lappend params_list CONFIG.CoefficientVector {binary:820CBA1CE28F41BFEA516D3669F9503FD6BCBA427DC0633FADEA7A366B8D6A3F18971D0DCFED6A3FF98EAA2B715E643FA753B4848B1F503F8FA3E68454F24CBF99BFB9265FBB65BF5EBCA9F4E86D6FBF0E99CCABF58D70BFF7279E89483969BF0CA0C119D0FD50BF6805FA4D91EC5B3F1107B9FEE88A723FC009207951697B3F6E9645B2CBBB7E3F93470C6E538B7A3F83FC41EADFC16C3F35C11097698051BFC60619649A7F7ABFB732DA6C80B087BFFE93C9EE7AEA8EBFC62868E8DF4A90BF7A2F68F0362A8BBFFF23800C73D27CBFA38D0DD34071613F6BDBBCDFFC488A3F4744107351A4973FDE0A926D74599F3F47A95AD63809A13FD357DFDD60379E3F027E6B4F5F35933F44659B3428915C3F49AB5C4088E993BF714CE9C51E3EA5BF6912CED177D4AEBFCC560F719937B2BF1EFAA5D9CAFCB1BFB391DDC495EDABBF1584C604294297BFA7BE65F64D10993F5A19BAC1DE87B53FD5F7AED26F5EC33F9F57FB845224CC3F2C54FB538319D23F74F9DC2FE457D53FD31611576072D73F0E9E0827DF2CD83FD31611576072D73F74F9DC2FE457D53F2C54FB538319D23F9F57FB845224CC3FD5F7AED26F5EC33F5A19BAC1DE87B53FA7BE65F64D10993F1584C604294297BFB391DDC495EDABBF1EFAA5D9CAFCB1BFCC560F719937B2BF6912CED177D4AEBF714CE9C51E3EA5BF49AB5C4088E993BF44659B3428915C3F027E6B4F5F35933FD357DFDD60379E3F47A95AD63809A13FDE0A926D74599F3F4744107351A4973F6BDBBCDFFC488A3FA38D0DD34071613FFF23800C73D27CBF7A2F68F0362A8BBFC62868E8DF4A90BFFE93C9EE7AEA8EBFB732DA6C80B087BFC60619649A7F7ABF35C11097698051BF83FC41EADFC16C3F93470C6E538B7A3F6E9645B2CBBB7E3FC009207951697B3F1107B9FEE88A723F6805FA4D91EC5B3F0CA0C119D0FD50BFF7279E89483969BF0E99CCABF58D70BF5EBCA9F4E86D6FBF99BFB9265FBB65BF8FA3E68454F24CBFA753B4848B1F503FF98EAA2B715E643F18971D0DCFED6A3FADEA7A366B8D6A3FD6BCBA427DC0633FEA516D3669F9503F820CBA1CE28F41BF}
+lappend params_list CONFIG.Coefficient_Buffer_Type {Automatic}
+lappend params_list CONFIG.Coefficient_Fanout {false}
+lappend params_list CONFIG.Coefficient_File {no_coe_file_loaded}
+lappend params_list CONFIG.Coefficient_Fractional_Bits {16}
+lappend params_list CONFIG.Coefficient_Reload {false}
+lappend params_list CONFIG.Coefficient_Sets {1}
+lappend params_list CONFIG.Coefficient_Sign {Signed}
+lappend params_list CONFIG.Coefficient_Structure {Inferred}
+lappend params_list CONFIG.Coefficient_Width {16}
+lappend params_list CONFIG.ColumnConfig {1}
+lappend params_list CONFIG.Control_Broadcast_Fanout {false}
+lappend params_list CONFIG.Control_Column_Fanout {false}
+lappend params_list CONFIG.Control_LUT_Pipeline {false}
+lappend params_list CONFIG.Control_Path_Fanout {false}
+lappend params_list CONFIG.DATA_Has_TLAST {Not_Required}
+lappend params_list CONFIG.DATA_TUSER_Width {1}
+lappend params_list CONFIG.Data_Buffer_Type {Automatic}
+lappend params_list CONFIG.Data_Fractional_Bits {14}
+lappend params_list CONFIG.Data_Path_Broadcast {false}
+lappend params_list CONFIG.Data_Path_Fanout {false}
+lappend params_list CONFIG.Data_Sign {Signed}
+lappend params_list CONFIG.Data_Width {16}
+lappend params_list CONFIG.Decimation_Rate {1}
+lappend params_list CONFIG.Disable_Half_Band_Centre_Tap {false}
+lappend params_list CONFIG.DisplayReloadOrder {false}
+lappend params_list CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate}
+lappend params_list CONFIG.Filter_Selection {1}
+lappend params_list CONFIG.Filter_Type {Single_Rate}
+lappend params_list CONFIG.GUI_Behaviour {Sysgen_uUPP}
+lappend params_list CONFIG.Gen_MIF_Files {false}
+lappend params_list CONFIG.Gen_MIF_from_COE {false}
+lappend params_list CONFIG.Gen_MIF_from_Spec {false}
+lappend params_list CONFIG.HardwareOversamplingRate {1}
+lappend params_list CONFIG.Has_ACLKEN {true}
+lappend params_list CONFIG.Has_ARESETn {false}
+lappend params_list CONFIG.Input_Buffer_Type {Automatic}
+lappend params_list CONFIG.Inter_Column_Pipe_Length {4}
+lappend params_list CONFIG.Interpolation_Rate {1}
+lappend params_list CONFIG.M_DATA_Has_TREADY {false}
+lappend params_list CONFIG.M_DATA_Has_TUSER {Not_Required}
+lappend params_list CONFIG.Multi_Column_Support {Automatic}
+lappend params_list CONFIG.No_BRAM_Read_First_Mode {false}
+lappend params_list CONFIG.No_SRL_Attributes {false}
+lappend params_list CONFIG.Num_Reload_Slots {1}
+lappend params_list CONFIG.Number_Channels {1}
+lappend params_list CONFIG.Number_Paths {1}
+lappend params_list CONFIG.Optimal_Column_Lengths {false}
+lappend params_list CONFIG.Optimization_Goal {Area}
+lappend params_list CONFIG.Optimization_List {None}
+lappend params_list CONFIG.Optimization_Selection {None}
+lappend params_list CONFIG.Other {false}
+lappend params_list CONFIG.Output_Buffer_Type {Automatic}
+lappend params_list CONFIG.Output_Rounding_Mode {Full_Precision}
+lappend params_list CONFIG.Output_Width {35}
+lappend params_list CONFIG.Passband_Max {0.50000000}
+lappend params_list CONFIG.Passband_Min {0.00000000}
+lappend params_list CONFIG.Pattern_List {P4-0,P4-1,P4-2,P4-3,P4-4}
+lappend params_list CONFIG.Pre_Adder_Pipeline {false}
+lappend params_list CONFIG.Preference_For_Other_Storage {Automatic}
+lappend params_list CONFIG.Quantization {Quantize_Only}
+lappend params_list CONFIG.RateSpecification {Maximum_Possible}
+lappend params_list CONFIG.Rate_Change_Type {Integer}
+lappend params_list CONFIG.Reload_File {no_coe_file_loaded}
+lappend params_list CONFIG.Reset_Data_Vector {true}
+lappend params_list CONFIG.S_CONFIG_Method {Single}
+lappend params_list CONFIG.S_CONFIG_Sync_Mode {On_Vector}
+lappend params_list CONFIG.S_DATA_Has_FIFO {false}
+lappend params_list CONFIG.S_DATA_Has_TUSER {Not_Required}
+lappend params_list CONFIG.SamplePeriod {6400}
+lappend params_list CONFIG.Sample_Frequency {0.001}
+lappend params_list CONFIG.Select_Pattern {All}
+lappend params_list CONFIG.Stopband_Max {1.00000000}
+lappend params_list CONFIG.Stopband_Min {0.50000000}
+lappend params_list CONFIG.Zero_Pack_Factor {1}
+
+set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_fir_compiler_v7_2_i0]
+}
+
+
+set existingipslist [get_ips]
+if {[lsearch $existingipslist axi_qpsk_rx_rrc_fir_compiler_v7_2_i1] < 0} {
+create_ip -name fir_compiler -version 7.2 -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_fir_compiler_v7_2_i1
+set params_list [list]
+lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_fir_compiler_v7_2_i1}
+lappend params_list CONFIG.BestPrecision {true}
+lappend params_list CONFIG.Blank_Output {false}
+lappend params_list CONFIG.Channel_Sequence {Basic}
+lappend params_list CONFIG.Clock_Frequency {300.0}
+lappend params_list CONFIG.CoefficientSource {Vector}
+lappend params_list CONFIG.CoefficientVector {binary:6616AAF46CE529BF000000000000000067223EE06DE0423F0000000000000000D665E45F672256BF0000000000000000017930360458663F0000000000000000B39AD3F93E6C74BF000000000000000017F5CB22DC66813F000000000000000078914769BF3B8CBF0000000000000000B405175B433B963F00000000000000005A68BCE78165A1BF00000000000000009A9E90387921AC3F000000000000000092C930E698C4B9BF0000000000000000E526E892D440D43F000000000000E03FE526E892D440D43F000000000000000092C930E698C4B9BF00000000000000009A9E90387921AC3F00000000000000005A68BCE78165A1BF0000000000000000B405175B433B963F000000000000000078914769BF3B8CBF000000000000000017F5CB22DC66813F0000000000000000B39AD3F93E6C74BF0000000000000000017930360458663F0000000000000000D665E45F672256BF000000000000000067223EE06DE0423F00000000000000006616AAF46CE529BF}
+lappend params_list CONFIG.Coefficient_Buffer_Type {Automatic}
+lappend params_list CONFIG.Coefficient_Fanout {false}
+lappend params_list CONFIG.Coefficient_File {no_coe_file_loaded}
+lappend params_list CONFIG.Coefficient_Fractional_Bits {15}
+lappend params_list CONFIG.Coefficient_Reload {false}
+lappend params_list CONFIG.Coefficient_Sets {1}
+lappend params_list CONFIG.Coefficient_Sign {Signed}
+lappend params_list CONFIG.Coefficient_Structure {Inferred}
+lappend params_list CONFIG.Coefficient_Width {16}
+lappend params_list CONFIG.ColumnConfig {1}
+lappend params_list CONFIG.Control_Broadcast_Fanout {false}
+lappend params_list CONFIG.Control_Column_Fanout {false}
+lappend params_list CONFIG.Control_LUT_Pipeline {false}
+lappend params_list CONFIG.Control_Path_Fanout {false}
+lappend params_list CONFIG.DATA_Has_TLAST {Not_Required}
+lappend params_list CONFIG.DATA_TUSER_Width {1}
+lappend params_list CONFIG.Data_Buffer_Type {Automatic}
+lappend params_list CONFIG.Data_Fractional_Bits {14}
+lappend params_list CONFIG.Data_Path_Broadcast {false}
+lappend params_list CONFIG.Data_Path_Fanout {false}
+lappend params_list CONFIG.Data_Sign {Signed}
+lappend params_list CONFIG.Data_Width {16}
+lappend params_list CONFIG.Decimation_Rate {1}
+lappend params_list CONFIG.Disable_Half_Band_Centre_Tap {false}
+lappend params_list CONFIG.DisplayReloadOrder {false}
+lappend params_list CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate}
+lappend params_list CONFIG.Filter_Selection {1}
+lappend params_list CONFIG.Filter_Type {Interpolation}
+lappend params_list CONFIG.GUI_Behaviour {Sysgen_uUPP}
+lappend params_list CONFIG.Gen_MIF_Files {false}
+lappend params_list CONFIG.Gen_MIF_from_COE {false}
+lappend params_list CONFIG.Gen_MIF_from_Spec {false}
+lappend params_list CONFIG.HardwareOversamplingRate {1}
+lappend params_list CONFIG.Has_ACLKEN {true}
+lappend params_list CONFIG.Has_ARESETn {false}
+lappend params_list CONFIG.Input_Buffer_Type {Automatic}
+lappend params_list CONFIG.Inter_Column_Pipe_Length {4}
+lappend params_list CONFIG.Interpolation_Rate {2}
+lappend params_list CONFIG.M_DATA_Has_TREADY {false}
+lappend params_list CONFIG.M_DATA_Has_TUSER {Not_Required}
+lappend params_list CONFIG.Multi_Column_Support {Automatic}
+lappend params_list CONFIG.No_BRAM_Read_First_Mode {false}
+lappend params_list CONFIG.No_SRL_Attributes {false}
+lappend params_list CONFIG.Num_Reload_Slots {1}
+lappend params_list CONFIG.Number_Channels {1}
+lappend params_list CONFIG.Number_Paths {1}
+lappend params_list CONFIG.Optimal_Column_Lengths {false}
+lappend params_list CONFIG.Optimization_Goal {Area}
+lappend params_list CONFIG.Optimization_List {None}
+lappend params_list CONFIG.Optimization_Selection {None}
+lappend params_list CONFIG.Other {false}
+lappend params_list CONFIG.Output_Buffer_Type {Automatic}
+lappend params_list CONFIG.Output_Rounding_Mode {Full_Precision}
+lappend params_list CONFIG.Output_Width {32}
+lappend params_list CONFIG.Passband_Max {0.50000000}
+lappend params_list CONFIG.Passband_Min {0.00000000}
+lappend params_list CONFIG.Pattern_List {P4-0,P4-1,P4-2,P4-3,P4-4}
+lappend params_list CONFIG.Pre_Adder_Pipeline {false}
+lappend params_list CONFIG.Preference_For_Other_Storage {Automatic}
+lappend params_list CONFIG.Quantization {Quantize_Only}
+lappend params_list CONFIG.RateSpecification {Maximum_Possible}
+lappend params_list CONFIG.Rate_Change_Type {Integer}
+lappend params_list CONFIG.Reload_File {no_coe_file_loaded}
+lappend params_list CONFIG.Reset_Data_Vector {true}
+lappend params_list CONFIG.S_CONFIG_Method {Single}
+lappend params_list CONFIG.S_CONFIG_Sync_Mode {On_Vector}
+lappend params_list CONFIG.S_DATA_Has_FIFO {false}
+lappend params_list CONFIG.S_DATA_Has_TUSER {Not_Required}
+lappend params_list CONFIG.SamplePeriod {6400}
+lappend params_list CONFIG.Sample_Frequency {0.001}
+lappend params_list CONFIG.Select_Pattern {All}
+lappend params_list CONFIG.Stopband_Max {1.00000000}
+lappend params_list CONFIG.Stopband_Min {0.50000000}
+lappend params_list CONFIG.Zero_Pack_Factor {1}
+
+set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_fir_compiler_v7_2_i1]
+}
+
+
+set existingipslist [get_ips]
+if {[lsearch $existingipslist axi_qpsk_rx_rrc_fir_compiler_v7_2_i2] < 0} {
+create_ip -name fir_compiler -version 7.2 -vendor xilinx.com -library ip -module_name axi_qpsk_rx_rrc_fir_compiler_v7_2_i2
+set params_list [list]
+lappend params_list CONFIG.Component_Name {axi_qpsk_rx_rrc_fir_compiler_v7_2_i2}
+lappend params_list CONFIG.BestPrecision {true}
+lappend params_list CONFIG.Blank_Output {false}
+lappend params_list CONFIG.Channel_Sequence {Basic}
+lappend params_list CONFIG.Clock_Frequency {300.0}
+lappend params_list CONFIG.CoefficientSource {Vector}
+lappend params_list CONFIG.CoefficientVector {binary:6616AAF46CE529BF000000000000000067223EE06DE0423F0000000000000000D665E45F672256BF0000000000000000017930360458663F0000000000000000B39AD3F93E6C74BF000000000000000017F5CB22DC66813F000000000000000078914769BF3B8CBF0000000000000000B405175B433B963F00000000000000005A68BCE78165A1BF00000000000000009A9E90387921AC3F000000000000000092C930E698C4B9BF0000000000000000E526E892D440D43F000000000000E03FE526E892D440D43F000000000000000092C930E698C4B9BF00000000000000009A9E90387921AC3F00000000000000005A68BCE78165A1BF0000000000000000B405175B433B963F000000000000000078914769BF3B8CBF000000000000000017F5CB22DC66813F0000000000000000B39AD3F93E6C74BF0000000000000000017930360458663F0000000000000000D665E45F672256BF000000000000000067223EE06DE0423F00000000000000006616AAF46CE529BF}
+lappend params_list CONFIG.Coefficient_Buffer_Type {Automatic}
+lappend params_list CONFIG.Coefficient_Fanout {false}
+lappend params_list CONFIG.Coefficient_File {no_coe_file_loaded}
+lappend params_list CONFIG.Coefficient_Fractional_Bits {15}
+lappend params_list CONFIG.Coefficient_Reload {false}
+lappend params_list CONFIG.Coefficient_Sets {1}
+lappend params_list CONFIG.Coefficient_Sign {Signed}
+lappend params_list CONFIG.Coefficient_Structure {Inferred}
+lappend params_list CONFIG.Coefficient_Width {16}
+lappend params_list CONFIG.ColumnConfig {1}
+lappend params_list CONFIG.Control_Broadcast_Fanout {false}
+lappend params_list CONFIG.Control_Column_Fanout {false}
+lappend params_list CONFIG.Control_LUT_Pipeline {false}
+lappend params_list CONFIG.Control_Path_Fanout {false}
+lappend params_list CONFIG.DATA_Has_TLAST {Not_Required}
+lappend params_list CONFIG.DATA_TUSER_Width {1}
+lappend params_list CONFIG.Data_Buffer_Type {Automatic}
+lappend params_list CONFIG.Data_Fractional_Bits {14}
+lappend params_list CONFIG.Data_Path_Broadcast {false}
+lappend params_list CONFIG.Data_Path_Fanout {false}
+lappend params_list CONFIG.Data_Sign {Signed}
+lappend params_list CONFIG.Data_Width {16}
+lappend params_list CONFIG.Decimation_Rate {1}
+lappend params_list CONFIG.Disable_Half_Band_Centre_Tap {false}
+lappend params_list CONFIG.DisplayReloadOrder {false}
+lappend params_list CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate}
+lappend params_list CONFIG.Filter_Selection {1}
+lappend params_list CONFIG.Filter_Type {Interpolation}
+lappend params_list CONFIG.GUI_Behaviour {Sysgen_uUPP}
+lappend params_list CONFIG.Gen_MIF_Files {false}
+lappend params_list CONFIG.Gen_MIF_from_COE {false}
+lappend params_list CONFIG.Gen_MIF_from_Spec {false}
+lappend params_list CONFIG.HardwareOversamplingRate {1}
+lappend params_list CONFIG.Has_ACLKEN {true}
+lappend params_list CONFIG.Has_ARESETn {false}
+lappend params_list CONFIG.Input_Buffer_Type {Automatic}
+lappend params_list CONFIG.Inter_Column_Pipe_Length {4}
+lappend params_list CONFIG.Interpolation_Rate {2}
+lappend params_list CONFIG.M_DATA_Has_TREADY {false}
+lappend params_list CONFIG.M_DATA_Has_TUSER {Not_Required}
+lappend params_list CONFIG.Multi_Column_Support {Automatic}
+lappend params_list CONFIG.No_BRAM_Read_First_Mode {false}
+lappend params_list CONFIG.No_SRL_Attributes {false}
+lappend params_list CONFIG.Num_Reload_Slots {1}
+lappend params_list CONFIG.Number_Channels {1}
+lappend params_list CONFIG.Number_Paths {1}
+lappend params_list CONFIG.Optimal_Column_Lengths {false}
+lappend params_list CONFIG.Optimization_Goal {Area}
+lappend params_list CONFIG.Optimization_List {None}
+lappend params_list CONFIG.Optimization_Selection {None}
+lappend params_list CONFIG.Other {false}
+lappend params_list CONFIG.Output_Buffer_Type {Automatic}
+lappend params_list CONFIG.Output_Rounding_Mode {Full_Precision}
+lappend params_list CONFIG.Output_Width {32}
+lappend params_list CONFIG.Passband_Max {0.50000000}
+lappend params_list CONFIG.Passband_Min {0.00000000}
+lappend params_list CONFIG.Pattern_List {P4-0,P4-1,P4-2,P4-3,P4-4}
+lappend params_list CONFIG.Pre_Adder_Pipeline {false}
+lappend params_list CONFIG.Preference_For_Other_Storage {Automatic}
+lappend params_list CONFIG.Quantization {Quantize_Only}
+lappend params_list CONFIG.RateSpecification {Maximum_Possible}
+lappend params_list CONFIG.Rate_Change_Type {Integer}
+lappend params_list CONFIG.Reload_File {no_coe_file_loaded}
+lappend params_list CONFIG.Reset_Data_Vector {true}
+lappend params_list CONFIG.S_CONFIG_Method {Single}
+lappend params_list CONFIG.S_CONFIG_Sync_Mode {On_Vector}
+lappend params_list CONFIG.S_DATA_Has_FIFO {false}
+lappend params_list CONFIG.S_DATA_Has_TUSER {Not_Required}
+lappend params_list CONFIG.SamplePeriod {3200}
+lappend params_list CONFIG.Sample_Frequency {0.001}
+lappend params_list CONFIG.Select_Pattern {All}
+lappend params_list CONFIG.Stopband_Max {1.00000000}
+lappend params_list CONFIG.Stopband_Min {0.50000000}
+lappend params_list CONFIG.Zero_Pack_Factor {1}
+
+set_property -dict $params_list [get_ips axi_qpsk_rx_rrc_fir_compiler_v7_2_i2]
+}
+
+
+validate_ip [get_ips]
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/xlclockdriver_rd.vhd b/boards/ip/iprepo/rx/rx_rrc/sysgen/xlclockdriver_rd.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/xlclockdriver_rd.vhd
rename to boards/ip/iprepo/rx/rx_rrc/sysgen/xlclockdriver_rd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ProjectGeneration.tcl b/boards/ip/iprepo/rx/rx_tsync/ProjectGeneration.tcl
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ProjectGeneration.tcl
rename to boards/ip/iprepo/rx/rx_tsync/ProjectGeneration.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/SgPaProject.tcl b/boards/ip/iprepo/rx/rx_tsync/SgPaProject.tcl
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/SgPaProject.tcl
rename to boards/ip/iprepo/rx/rx_tsync/SgPaProject.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/UoS_SysGen_axi_qpsk_rx_tsync_v1_1.zip b/boards/ip/iprepo/rx/rx_tsync/ip/UoS_SysGen_axi_qpsk_rx_tsync_v1_1.zip
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/UoS_SysGen_axi_qpsk_rx_tsync_v1_1.zip
rename to boards/ip/iprepo/rx/rx_tsync/ip/UoS_SysGen_axi_qpsk_rx_tsync_v1_1.zip
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i0/axi_qpsk_rx_tsync_c_addsub_v12_0_i0.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i0/axi_qpsk_rx_tsync_c_addsub_v12_0_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i0/axi_qpsk_rx_tsync_c_addsub_v12_0_i0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i0/axi_qpsk_rx_tsync_c_addsub_v12_0_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i1/axi_qpsk_rx_tsync_c_addsub_v12_0_i1.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i1/axi_qpsk_rx_tsync_c_addsub_v12_0_i1.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i1/axi_qpsk_rx_tsync_c_addsub_v12_0_i1.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i1/axi_qpsk_rx_tsync_c_addsub_v12_0_i1.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i2/axi_qpsk_rx_tsync_c_addsub_v12_0_i2.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i2/axi_qpsk_rx_tsync_c_addsub_v12_0_i2.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i2/axi_qpsk_rx_tsync_c_addsub_v12_0_i2.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i2/axi_qpsk_rx_tsync_c_addsub_v12_0_i2.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i3/axi_qpsk_rx_tsync_c_addsub_v12_0_i3.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i3/axi_qpsk_rx_tsync_c_addsub_v12_0_i3.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i3/axi_qpsk_rx_tsync_c_addsub_v12_0_i3.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i3/axi_qpsk_rx_tsync_c_addsub_v12_0_i3.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i4/axi_qpsk_rx_tsync_c_addsub_v12_0_i4.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i4/axi_qpsk_rx_tsync_c_addsub_v12_0_i4.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i4/axi_qpsk_rx_tsync_c_addsub_v12_0_i4.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i4/axi_qpsk_rx_tsync_c_addsub_v12_0_i4.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i5/axi_qpsk_rx_tsync_c_addsub_v12_0_i5.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i5/axi_qpsk_rx_tsync_c_addsub_v12_0_i5.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i5/axi_qpsk_rx_tsync_c_addsub_v12_0_i5.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i5/axi_qpsk_rx_tsync_c_addsub_v12_0_i5.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i6/axi_qpsk_rx_tsync_c_addsub_v12_0_i6.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i6/axi_qpsk_rx_tsync_c_addsub_v12_0_i6.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i6/axi_qpsk_rx_tsync_c_addsub_v12_0_i6.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i6/axi_qpsk_rx_tsync_c_addsub_v12_0_i6.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i7/axi_qpsk_rx_tsync_c_addsub_v12_0_i7.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i7/axi_qpsk_rx_tsync_c_addsub_v12_0_i7.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i7/axi_qpsk_rx_tsync_c_addsub_v12_0_i7.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i7/axi_qpsk_rx_tsync_c_addsub_v12_0_i7.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i8/axi_qpsk_rx_tsync_c_addsub_v12_0_i8.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i8/axi_qpsk_rx_tsync_c_addsub_v12_0_i8.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i8/axi_qpsk_rx_tsync_c_addsub_v12_0_i8.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i8/axi_qpsk_rx_tsync_c_addsub_v12_0_i8.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i9/axi_qpsk_rx_tsync_c_addsub_v12_0_i9.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i9/axi_qpsk_rx_tsync_c_addsub_v12_0_i9.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i9/axi_qpsk_rx_tsync_c_addsub_v12_0_i9.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_addsub_v12_0_i9/axi_qpsk_rx_tsync_c_addsub_v12_0_i9.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i0/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i0.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i0/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i0/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i0/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i1/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i1.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i1/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i1.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i1/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i1.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i1/axi_qpsk_rx_tsync_c_counter_binary_v12_0_i1.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_fifo_generator_i0/axi_qpsk_rx_tsync_fifo_generator_i0.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_fifo_generator_i0/axi_qpsk_rx_tsync_fifo_generator_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_fifo_generator_i0/axi_qpsk_rx_tsync_fifo_generator_i0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_fifo_generator_i0/axi_qpsk_rx_tsync_fifo_generator_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i0/axi_qpsk_rx_tsync_mult_gen_v12_0_i0.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i0/axi_qpsk_rx_tsync_mult_gen_v12_0_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i0/axi_qpsk_rx_tsync_mult_gen_v12_0_i0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i0/axi_qpsk_rx_tsync_mult_gen_v12_0_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i1/axi_qpsk_rx_tsync_mult_gen_v12_0_i1.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i1/axi_qpsk_rx_tsync_mult_gen_v12_0_i1.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i1/axi_qpsk_rx_tsync_mult_gen_v12_0_i1.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i1/axi_qpsk_rx_tsync_mult_gen_v12_0_i1.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i2/axi_qpsk_rx_tsync_mult_gen_v12_0_i2.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i2/axi_qpsk_rx_tsync_mult_gen_v12_0_i2.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i2/axi_qpsk_rx_tsync_mult_gen_v12_0_i2.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i2/axi_qpsk_rx_tsync_mult_gen_v12_0_i2.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i3/axi_qpsk_rx_tsync_mult_gen_v12_0_i3.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i3/axi_qpsk_rx_tsync_mult_gen_v12_0_i3.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i3/axi_qpsk_rx_tsync_mult_gen_v12_0_i3.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i3/axi_qpsk_rx_tsync_mult_gen_v12_0_i3.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i4/axi_qpsk_rx_tsync_mult_gen_v12_0_i4.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i4/axi_qpsk_rx_tsync_mult_gen_v12_0_i4.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i4/axi_qpsk_rx_tsync_mult_gen_v12_0_i4.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i4/axi_qpsk_rx_tsync_mult_gen_v12_0_i4.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i5/axi_qpsk_rx_tsync_mult_gen_v12_0_i5.xci b/boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i5/axi_qpsk_rx_tsync_mult_gen_v12_0_i5.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i5/axi_qpsk_rx_tsync_mult_gen_v12_0_i5.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip/axi_qpsk_rx_tsync_mult_gen_v12_0_i5/axi_qpsk_rx_tsync_mult_gen_v12_0_i5.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/component.xml b/boards/ip/iprepo/rx/rx_tsync/ip/component.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/component.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip/component.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/constrs/axi_qpsk_rx_tsync.xdc b/boards/ip/iprepo/rx/rx_tsync/ip/constrs/axi_qpsk_rx_tsync.xdc
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/constrs/axi_qpsk_rx_tsync.xdc
rename to boards/ip/iprepo/rx/rx_tsync/ip/constrs/axi_qpsk_rx_tsync.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/data/axi_qpsk_rx_tsync.mdd b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/data/axi_qpsk_rx_tsync.mdd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/data/axi_qpsk_rx_tsync.mdd
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/data/axi_qpsk_rx_tsync.mdd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/data/axi_qpsk_rx_tsync.tcl b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/data/axi_qpsk_rx_tsync.tcl
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/data/axi_qpsk_rx_tsync.tcl
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/data/axi_qpsk_rx_tsync.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/doc/html/api/index.html b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/doc/html/api/index.html
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/doc/html/api/index.html
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/doc/html/api/index.html
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/Makefile b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/Makefile
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/Makefile
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/Makefile
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync.c b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync.c
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync.h b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync.h
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync.h
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync.h
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_hw.h b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_hw.h
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_hw.h
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_hw.h
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_linux.c b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_linux.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_linux.c
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_linux.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_sinit.c b/boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_sinit.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_sinit.c
rename to boards/ip/iprepo/rx/rx_tsync/ip/drivers/axi_qpsk_rx_tsync_v1_1/src/axi_qpsk_rx_tsync_sinit.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync_axi_lite_interface_verilog.v b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync_axi_lite_interface_verilog.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync_axi_lite_interface_verilog.v
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync_axi_lite_interface_verilog.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync_entity_declarations.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync_entity_declarations.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync_entity_declarations.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/axi_qpsk_rx_tsync_entity_declarations.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/conv_pkg.v b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/conv_pkg.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/conv_pkg.v
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/conv_pkg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/conv_pkg.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/conv_pkg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/conv_pkg.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/conv_pkg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/convert_type.v b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/convert_type.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/convert_type.v
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/convert_type.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/single_reg_w_init.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/single_reg_w_init.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/single_reg_w_init.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/single_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/srl17e.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/srl17e.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/srl17e.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/srl17e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/srl33e.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/srl33e.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/srl33e.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/srl33e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg.v b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg.v
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg_reg.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg_reg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg_reg.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg_w_init.v b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg_w_init.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg_w_init.v
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg_w_init.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg_w_init.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg_w_init.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/synth_reg_w_init.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/synth_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/xlclockdriver_rd.vhd b/boards/ip/iprepo/rx/rx_tsync/ip/hdl/xlclockdriver_rd.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/hdl/xlclockdriver_rd.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip/hdl/xlclockdriver_rd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/sysgen_icon_100.png b/boards/ip/iprepo/rx/rx_tsync/ip/sysgen_icon_100.png
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/sysgen_icon_100.png
rename to boards/ip/iprepo/rx/rx_tsync/ip/sysgen_icon_100.png
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/xgui/axi_qpsk_rx_tsync_v1_1.tcl b/boards/ip/iprepo/rx/rx_tsync/ip/xgui/axi_qpsk_rx_tsync_v1_1.tcl
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/xgui/axi_qpsk_rx_tsync_v1_1.tcl
rename to boards/ip/iprepo/rx/rx_tsync/ip/xgui/axi_qpsk_rx_tsync_v1_1.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/xpm_634a8b_vivado.mem b/boards/ip/iprepo/rx/rx_tsync/ip/xpm_634a8b_vivado.mem
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/xpm_634a8b_vivado.mem
rename to boards/ip/iprepo/rx/rx_tsync/ip/xpm_634a8b_vivado.mem
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/xpm_6f9821_vivado.mem b/boards/ip/iprepo/rx/rx_tsync/ip/xpm_6f9821_vivado.mem
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/xpm_6f9821_vivado.mem
rename to boards/ip/iprepo/rx/rx_tsync/ip/xpm_6f9821_vivado.mem
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/xpm_e5ca12_vivado.mem b/boards/ip/iprepo/rx/rx_tsync/ip/xpm_e5ca12_vivado.mem
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip/xpm_e5ca12_vivado.mem
rename to boards/ip/iprepo/rx/rx_tsync/ip/xpm_e5ca12_vivado.mem
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.cache/wt/project.wpc b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.cache/wt/project.wpc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.cache/wt/project.wpc
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.cache/wt/project.wpc
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.hw/axi_qpsk_tx.lpr b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.hw/axi_qpsk_rx_tsync.lpr
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.hw/axi_qpsk_tx.lpr
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.hw/axi_qpsk_rx_tsync.lpr
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_tsync.xdc b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_tsync.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_tsync.xdc
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_tsync.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx_clock.xdc b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_tsync_clock.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx_clock.xdc
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_tsync_clock.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bd b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bd
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bmm b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bmm
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bmm
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bmm
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bxml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bxml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bxml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd.bxml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd_ooc.xdc b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd_ooc.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd_ooc.xdc
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/axi_qpsk_rx_tsync_bd_ooc.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/hdl/axi_qpsk_rx_tsync_bd_wrapper.vhd b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/hdl/axi_qpsk_rx_tsync_bd_wrapper.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/hdl/axi_qpsk_rx_tsync_bd_wrapper.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/hdl/axi_qpsk_rx_tsync_bd_wrapper.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0/axi_qpsk_rx_tsync_bd_axi_qpsk_rx_tsync_1_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_clk_wiz_1_0/axi_qpsk_rx_tsync_bd_clk_wiz_1_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_clk_wiz_1_0/axi_qpsk_rx_tsync_bd_clk_wiz_1_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_clk_wiz_1_0/axi_qpsk_rx_tsync_bd_clk_wiz_1_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_clk_wiz_1_0/axi_qpsk_rx_tsync_bd_clk_wiz_1_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_clk_wiz_1_0/axi_qpsk_rx_tsync_bd_clk_wiz_1_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_clk_wiz_1_0/axi_qpsk_rx_tsync_bd_clk_wiz_1_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_clk_wiz_1_0/axi_qpsk_rx_tsync_bd_clk_wiz_1_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_clk_wiz_1_0/axi_qpsk_rx_tsync_bd_clk_wiz_1_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_dlmb_bram_if_cntlr_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_v10_0/axi_qpsk_rx_tsync_bd_dlmb_v10_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_v10_0/axi_qpsk_rx_tsync_bd_dlmb_v10_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_v10_0/axi_qpsk_rx_tsync_bd_dlmb_v10_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_v10_0/axi_qpsk_rx_tsync_bd_dlmb_v10_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_v10_0/axi_qpsk_rx_tsync_bd_dlmb_v10_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_v10_0/axi_qpsk_rx_tsync_bd_dlmb_v10_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_v10_0/axi_qpsk_rx_tsync_bd_dlmb_v10_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_dlmb_v10_0/axi_qpsk_rx_tsync_bd_dlmb_v10_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0/axi_qpsk_rx_tsync_bd_ilmb_bram_if_cntlr_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_v10_0/axi_qpsk_rx_tsync_bd_ilmb_v10_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_v10_0/axi_qpsk_rx_tsync_bd_ilmb_v10_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_v10_0/axi_qpsk_rx_tsync_bd_ilmb_v10_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_v10_0/axi_qpsk_rx_tsync_bd_ilmb_v10_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_v10_0/axi_qpsk_rx_tsync_bd_ilmb_v10_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_v10_0/axi_qpsk_rx_tsync_bd_ilmb_v10_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_v10_0/axi_qpsk_rx_tsync_bd_ilmb_v10_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_ilmb_v10_0/axi_qpsk_rx_tsync_bd_ilmb_v10_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_lmb_bram_0/axi_qpsk_rx_tsync_bd_lmb_bram_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_lmb_bram_0/axi_qpsk_rx_tsync_bd_lmb_bram_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_lmb_bram_0/axi_qpsk_rx_tsync_bd_lmb_bram_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_lmb_bram_0/axi_qpsk_rx_tsync_bd_lmb_bram_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_lmb_bram_0/axi_qpsk_rx_tsync_bd_lmb_bram_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_lmb_bram_0/axi_qpsk_rx_tsync_bd_lmb_bram_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_lmb_bram_0/axi_qpsk_rx_tsync_bd_lmb_bram_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_lmb_bram_0/axi_qpsk_rx_tsync_bd_lmb_bram_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_mdm_1_0/axi_qpsk_rx_tsync_bd_mdm_1_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_mdm_1_0/axi_qpsk_rx_tsync_bd_mdm_1_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_mdm_1_0/axi_qpsk_rx_tsync_bd_mdm_1_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_mdm_1_0/axi_qpsk_rx_tsync_bd_mdm_1_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_mdm_1_0/axi_qpsk_rx_tsync_bd_mdm_1_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_mdm_1_0/axi_qpsk_rx_tsync_bd_mdm_1_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_mdm_1_0/axi_qpsk_rx_tsync_bd_mdm_1_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_mdm_1_0/axi_qpsk_rx_tsync_bd_mdm_1_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_0/axi_qpsk_rx_tsync_bd_microblaze_1_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_0/axi_qpsk_rx_tsync_bd_microblaze_1_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_0/axi_qpsk_rx_tsync_bd_microblaze_1_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_0/axi_qpsk_rx_tsync_bd_microblaze_1_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_0/axi_qpsk_rx_tsync_bd_microblaze_1_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_0/axi_qpsk_rx_tsync_bd_microblaze_1_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_0/axi_qpsk_rx_tsync_bd_microblaze_1_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_0/axi_qpsk_rx_tsync_bd_microblaze_1_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0/axi_qpsk_rx_tsync_bd_microblaze_1_axi_periph_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/ip/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0/axi_qpsk_rx_tsync_bd_rst_clk_wiz_1_100M_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/sim/axi_qpsk_rx_tsync_bd.vhd b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/sim/axi_qpsk_rx_tsync_bd.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/sim/axi_qpsk_rx_tsync_bd.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/sim/axi_qpsk_rx_tsync_bd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/synth/axi_qpsk_rx_tsync_bd.vhd b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/synth/axi_qpsk_rx_tsync_bd.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/synth/axi_qpsk_rx_tsync_bd.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/bd/axi_qpsk_rx_tsync_bd/synth/axi_qpsk_rx_tsync_bd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/imports/hdl/axi_qpsk_rx_tsync_bd_wrapper.vhd b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/imports/hdl/axi_qpsk_rx_tsync_bd_wrapper.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/imports/hdl/axi_qpsk_rx_tsync_bd_wrapper.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/imports/hdl/axi_qpsk_rx_tsync_bd_wrapper.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/imports/sysgen/axi_qpsk_rx_tsync_mod.vhd b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/imports/sysgen/axi_qpsk_rx_tsync_mod.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/imports/sysgen/axi_qpsk_rx_tsync_mod.vhd
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/imports/sysgen/axi_qpsk_rx_tsync_mod.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/ip/axi_qpsk_rx_tsync_0/axi_qpsk_rx_tsync_0.xci b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/ip/axi_qpsk_rx_tsync_0/axi_qpsk_rx_tsync_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/ip/axi_qpsk_rx_tsync_0/axi_qpsk_rx_tsync_0.xci
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/ip/axi_qpsk_rx_tsync_0/axi_qpsk_rx_tsync_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/ip/axi_qpsk_rx_tsync_0/axi_qpsk_rx_tsync_0.xml b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/ip/axi_qpsk_rx_tsync_0/axi_qpsk_rx_tsync_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/ip/axi_qpsk_rx_tsync_0/axi_qpsk_rx_tsync_0.xml
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.srcs/sources_1/ip/axi_qpsk_rx_tsync_0/axi_qpsk_rx_tsync_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.xpr b/boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.xpr
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.xpr
rename to boards/ip/iprepo/rx/rx_tsync/ip_catalog/axi_qpsk_rx_tsync.xpr
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/Makefile.mak b/boards/ip/iprepo/rx/rx_tsync/sysgen/Makefile.mak
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/Makefile.mak
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/Makefile.mak
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.c b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.c
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.c
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.h b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.h
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.h
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.h
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.mdd b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.mdd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.mdd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.mdd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.mtcl b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.mtcl
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.mtcl
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.mtcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vhd.at b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vhd.at
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vhd.at
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vhd.at
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vho b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vho
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vho
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.vho
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.xdc b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.xdc
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.xdc
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_axi_lite_interface_verilog.v b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_axi_lite_interface_verilog.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_axi_lite_interface_verilog.v
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_axi_lite_interface_verilog.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i0_vivado.coe b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i0_vivado.coe
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i0_vivado.coe
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i0_vivado.coe
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i1_vivado.coe b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i1_vivado.coe
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i1_vivado.coe
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i1_vivado.coe
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i2_vivado.coe b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i2_vivado.coe
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i2_vivado.coe
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_blk_mem_gen_i2_vivado.coe
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_clock.xdc b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_clock.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_clock.xdc
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_clock.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_entity_declarations.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_entity_declarations.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_entity_declarations.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_entity_declarations.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_false_paths.xdc b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_false_paths.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_false_paths.xdc
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_false_paths.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_hw.h b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_hw.h
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_hw.h
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_hw.h
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_linux.c b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_linux.c
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_linux.c
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_linux.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_mod.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_mod.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_mod.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_mod.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_sinit.c b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_sinit.c
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_sinit.c
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_sinit.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_stub.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_stub.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_stub.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/axi_qpsk_rx_tsync_stub.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/conv_pkg.v b/boards/ip/iprepo/rx/rx_tsync/sysgen/conv_pkg.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/conv_pkg.v
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/conv_pkg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/conv_pkg.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/conv_pkg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/conv_pkg.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/conv_pkg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/convert_type.v b/boards/ip/iprepo/rx/rx_tsync/sysgen/convert_type.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/convert_type.v
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/convert_type.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/index.html b/boards/ip/iprepo/rx/rx_tsync/sysgen/index.html
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/index.html
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/index.html
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/single_reg_w_init.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/single_reg_w_init.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/single_reg_w_init.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/single_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/srl17e.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/srl17e.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/srl17e.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/srl17e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/srl33e.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/srl33e.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/srl33e.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/srl33e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg.v b/boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg.v
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg_reg.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg_reg.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg_reg.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg_w_init.v b/boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg_w_init.v
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg_w_init.v
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg_w_init.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg_w_init.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg_w_init.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/synth_reg_w_init.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/synth_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/sysgen_icon_100.png b/boards/ip/iprepo/rx/rx_tsync/sysgen/sysgen_icon_100.png
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/sysgen_icon_100.png
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/sysgen_icon_100.png
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/vivado_ip.tcl b/boards/ip/iprepo/rx/rx_tsync/sysgen/vivado_ip.tcl
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/vivado_ip.tcl
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/vivado_ip.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/xlclockdriver_rd.vhd b/boards/ip/iprepo/rx/rx_tsync/sysgen/xlclockdriver_rd.vhd
old mode 100755
new mode 100644
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/xlclockdriver_rd.vhd
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/xlclockdriver_rd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/xpm_634a8b_vivado.mem b/boards/ip/iprepo/rx/rx_tsync/sysgen/xpm_634a8b_vivado.mem
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/xpm_634a8b_vivado.mem
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/xpm_634a8b_vivado.mem
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/xpm_6f9821_vivado.mem b/boards/ip/iprepo/rx/rx_tsync/sysgen/xpm_6f9821_vivado.mem
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/xpm_6f9821_vivado.mem
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/xpm_6f9821_vivado.mem
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/xpm_e5ca12_vivado.mem b/boards/ip/iprepo/rx/rx_tsync/sysgen/xpm_e5ca12_vivado.mem
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_tsync/sysgen/xpm_e5ca12_vivado.mem
rename to boards/ip/iprepo/rx/rx_tsync/sysgen/xpm_e5ca12_vivado.mem
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ProjectGeneration.tcl b/boards/ip/iprepo/tx/ProjectGeneration.tcl
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ProjectGeneration.tcl
rename to boards/ip/iprepo/tx/ProjectGeneration.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/SgPaProject.tcl b/boards/ip/iprepo/tx/SgPaProject.tcl
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/SgPaProject.tcl
rename to boards/ip/iprepo/tx/SgPaProject.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/UoS_RFSoC_axi_qpsk_tx_v5_3.zip b/boards/ip/iprepo/tx/ip/UoS_RFSoC_axi_qpsk_tx_v5_3.zip
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/UoS_RFSoC_axi_qpsk_tx_v5_3.zip
rename to boards/ip/iprepo/tx/ip/UoS_RFSoC_axi_qpsk_tx_v5_3.zip
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_c_addsub_v12_0_i0/axi_qpsk_tx_c_addsub_v12_0_i0.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_c_addsub_v12_0_i0/axi_qpsk_tx_c_addsub_v12_0_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_c_addsub_v12_0_i0/axi_qpsk_tx_c_addsub_v12_0_i0.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_c_addsub_v12_0_i0/axi_qpsk_tx_c_addsub_v12_0_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_c_counter_binary_v12_0_i0/axi_qpsk_tx_c_counter_binary_v12_0_i0.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_c_counter_binary_v12_0_i0/axi_qpsk_tx_c_counter_binary_v12_0_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_c_counter_binary_v12_0_i0/axi_qpsk_tx_c_counter_binary_v12_0_i0.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_c_counter_binary_v12_0_i0/axi_qpsk_tx_c_counter_binary_v12_0_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_c_counter_binary_v12_0_i1/axi_qpsk_tx_c_counter_binary_v12_0_i1.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_c_counter_binary_v12_0_i1/axi_qpsk_tx_c_counter_binary_v12_0_i1.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_c_counter_binary_v12_0_i1/axi_qpsk_tx_c_counter_binary_v12_0_i1.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_c_counter_binary_v12_0_i1/axi_qpsk_tx_c_counter_binary_v12_0_i1.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_cic_compiler_v4_0_i0/axi_qpsk_tx_cic_compiler_v4_0_i0.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_cic_compiler_v4_0_i0/axi_qpsk_tx_cic_compiler_v4_0_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_cic_compiler_v4_0_i0/axi_qpsk_tx_cic_compiler_v4_0_i0.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_cic_compiler_v4_0_i0/axi_qpsk_tx_cic_compiler_v4_0_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fifo_generator_i0/axi_qpsk_tx_fifo_generator_i0.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_fifo_generator_i0/axi_qpsk_tx_fifo_generator_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fifo_generator_i0/axi_qpsk_tx_fifo_generator_i0.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_fifo_generator_i0/axi_qpsk_tx_fifo_generator_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fifo_generator_i1/axi_qpsk_tx_fifo_generator_i1.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_fifo_generator_i1/axi_qpsk_tx_fifo_generator_i1.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fifo_generator_i1/axi_qpsk_tx_fifo_generator_i1.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_fifo_generator_i1/axi_qpsk_tx_fifo_generator_i1.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fifo_generator_i2/axi_qpsk_tx_fifo_generator_i2.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_fifo_generator_i2/axi_qpsk_tx_fifo_generator_i2.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fifo_generator_i2/axi_qpsk_tx_fifo_generator_i2.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_fifo_generator_i2/axi_qpsk_tx_fifo_generator_i2.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i0/axi_qpsk_tx_fir_compiler_v7_2_i0.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i0/axi_qpsk_tx_fir_compiler_v7_2_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i0/axi_qpsk_tx_fir_compiler_v7_2_i0.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i0/axi_qpsk_tx_fir_compiler_v7_2_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i1/axi_qpsk_tx_fir_compiler_v7_2_i1.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i1/axi_qpsk_tx_fir_compiler_v7_2_i1.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i1/axi_qpsk_tx_fir_compiler_v7_2_i1.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i1/axi_qpsk_tx_fir_compiler_v7_2_i1.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i2/axi_qpsk_tx_fir_compiler_v7_2_i2.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i2/axi_qpsk_tx_fir_compiler_v7_2_i2.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i2/axi_qpsk_tx_fir_compiler_v7_2_i2.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_fir_compiler_v7_2_i2/axi_qpsk_tx_fir_compiler_v7_2_i2.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i0/axi_qpsk_tx_mult_gen_v12_0_i0.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i0/axi_qpsk_tx_mult_gen_v12_0_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i0/axi_qpsk_tx_mult_gen_v12_0_i0.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i0/axi_qpsk_tx_mult_gen_v12_0_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i1/axi_qpsk_tx_mult_gen_v12_0_i1.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i1/axi_qpsk_tx_mult_gen_v12_0_i1.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i1/axi_qpsk_tx_mult_gen_v12_0_i1.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i1/axi_qpsk_tx_mult_gen_v12_0_i1.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i2/axi_qpsk_tx_mult_gen_v12_0_i2.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i2/axi_qpsk_tx_mult_gen_v12_0_i2.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i2/axi_qpsk_tx_mult_gen_v12_0_i2.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_mult_gen_v12_0_i2/axi_qpsk_tx_mult_gen_v12_0_i2.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_xfft_v9_1_i0/axi_qpsk_tx_xfft_v9_1_i0.xci b/boards/ip/iprepo/tx/ip/axi_qpsk_tx_xfft_v9_1_i0/axi_qpsk_tx_xfft_v9_1_i0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/axi_qpsk_tx_xfft_v9_1_i0/axi_qpsk_tx_xfft_v9_1_i0.xci
rename to boards/ip/iprepo/tx/ip/axi_qpsk_tx_xfft_v9_1_i0/axi_qpsk_tx_xfft_v9_1_i0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/component.xml b/boards/ip/iprepo/tx/ip/component.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/component.xml
rename to boards/ip/iprepo/tx/ip/component.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/constrs/axi_qpsk_tx.xdc b/boards/ip/iprepo/tx/ip/constrs/axi_qpsk_tx.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/constrs/axi_qpsk_tx.xdc
rename to boards/ip/iprepo/tx/ip/constrs/axi_qpsk_tx.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/data/axi_qpsk_tx.mdd b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/data/axi_qpsk_tx.mdd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/data/axi_qpsk_tx.mdd
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/data/axi_qpsk_tx.mdd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/data/axi_qpsk_tx.tcl b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/data/axi_qpsk_tx.tcl
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/data/axi_qpsk_tx.tcl
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/data/axi_qpsk_tx.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/doc/html/api/index.html b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/doc/html/api/index.html
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/doc/html/api/index.html
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/doc/html/api/index.html
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/Makefile b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/Makefile
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/Makefile
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/Makefile
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx.c b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx.c
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx.h b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx.h
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx.h
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx.h
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_hw.h b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_hw.h
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_hw.h
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_hw.h
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_linux.c b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_linux.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_linux.c
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_linux.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_sinit.c b/boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_sinit.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_sinit.c
rename to boards/ip/iprepo/tx/ip/drivers/axi_qpsk_tx_v5_3/src/axi_qpsk_tx_sinit.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/axi_qpsk_tx.vhd b/boards/ip/iprepo/tx/ip/hdl/axi_qpsk_tx.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/axi_qpsk_tx.vhd
rename to boards/ip/iprepo/tx/ip/hdl/axi_qpsk_tx.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/axi_qpsk_tx_axi_lite_interface_verilog.v b/boards/ip/iprepo/tx/ip/hdl/axi_qpsk_tx_axi_lite_interface_verilog.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/axi_qpsk_tx_axi_lite_interface_verilog.v
rename to boards/ip/iprepo/tx/ip/hdl/axi_qpsk_tx_axi_lite_interface_verilog.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/axi_qpsk_tx_entity_declarations.vhd b/boards/ip/iprepo/tx/ip/hdl/axi_qpsk_tx_entity_declarations.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/axi_qpsk_tx_entity_declarations.vhd
rename to boards/ip/iprepo/tx/ip/hdl/axi_qpsk_tx_entity_declarations.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/conv_pkg.v b/boards/ip/iprepo/tx/ip/hdl/conv_pkg.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/conv_pkg.v
rename to boards/ip/iprepo/tx/ip/hdl/conv_pkg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/conv_pkg.vhd b/boards/ip/iprepo/tx/ip/hdl/conv_pkg.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/conv_pkg.vhd
rename to boards/ip/iprepo/tx/ip/hdl/conv_pkg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/convert_type.v b/boards/ip/iprepo/tx/ip/hdl/convert_type.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/convert_type.v
rename to boards/ip/iprepo/tx/ip/hdl/convert_type.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/single_reg_w_init.vhd b/boards/ip/iprepo/tx/ip/hdl/single_reg_w_init.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/single_reg_w_init.vhd
rename to boards/ip/iprepo/tx/ip/hdl/single_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/srl17e.vhd b/boards/ip/iprepo/tx/ip/hdl/srl17e.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/srl17e.vhd
rename to boards/ip/iprepo/tx/ip/hdl/srl17e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/srl33e.vhd b/boards/ip/iprepo/tx/ip/hdl/srl33e.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/srl33e.vhd
rename to boards/ip/iprepo/tx/ip/hdl/srl33e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg.v b/boards/ip/iprepo/tx/ip/hdl/synth_reg.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg.v
rename to boards/ip/iprepo/tx/ip/hdl/synth_reg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg.vhd b/boards/ip/iprepo/tx/ip/hdl/synth_reg.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg.vhd
rename to boards/ip/iprepo/tx/ip/hdl/synth_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg_reg.vhd b/boards/ip/iprepo/tx/ip/hdl/synth_reg_reg.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg_reg.vhd
rename to boards/ip/iprepo/tx/ip/hdl/synth_reg_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg_w_init.v b/boards/ip/iprepo/tx/ip/hdl/synth_reg_w_init.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg_w_init.v
rename to boards/ip/iprepo/tx/ip/hdl/synth_reg_w_init.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg_w_init.vhd b/boards/ip/iprepo/tx/ip/hdl/synth_reg_w_init.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/synth_reg_w_init.vhd
rename to boards/ip/iprepo/tx/ip/hdl/synth_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/xlclockdriver_rd.vhd b/boards/ip/iprepo/tx/ip/hdl/xlclockdriver_rd.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/hdl/xlclockdriver_rd.vhd
rename to boards/ip/iprepo/tx/ip/hdl/xlclockdriver_rd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/sysgen_icon_100.png b/boards/ip/iprepo/tx/ip/sysgen_icon_100.png
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/sysgen_icon_100.png
rename to boards/ip/iprepo/tx/ip/sysgen_icon_100.png
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip/xgui/axi_qpsk_tx_v5_3.tcl b/boards/ip/iprepo/tx/ip/xgui/axi_qpsk_tx_v5_3.tcl
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip/xgui/axi_qpsk_tx_v5_3.tcl
rename to boards/ip/iprepo/tx/ip/xgui/axi_qpsk_tx_v5_3.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.cache/wt/project.wpc b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.cache/wt/project.wpc
old mode 100755
new mode 100644
similarity index 95%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.cache/wt/project.wpc
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.cache/wt/project.wpc
index adfed54..80a94c6
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.cache/wt/project.wpc
+++ b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.cache/wt/project.wpc
@@ -1,3 +1,3 @@
-version:1
-6d6f64655f636f756e7465727c42617463684d6f6465:2
-eof:
+version:1
+6d6f64655f636f756e7465727c42617463684d6f6465:2
+eof:
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.hw/axi_qpsk_rx_rrc.lpr b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.hw/axi_qpsk_tx.lpr
old mode 100755
new mode 100644
similarity index 97%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.hw/axi_qpsk_rx_rrc.lpr
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.hw/axi_qpsk_tx.lpr
index 2a5fc05..5709916
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.hw/axi_qpsk_rx_rrc.lpr
+++ b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.hw/axi_qpsk_tx.lpr
@@ -1,6 +1,6 @@
-
-
-
-
-
-
+
+
+
+
+
+
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx.xdc b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx.xdc
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_rrc_clock.xdc b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx_clock.xdc
old mode 100755
new mode 100644
similarity index 98%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_rrc_clock.xdc
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx_clock.xdc
index 1f365d4..8fbdecb
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/ip_catalog/axi_qpsk_rx_rrc.srcs/constrs_1/imports/sysgen/axi_qpsk_rx_rrc_clock.xdc
+++ b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/constrs_1/imports/sysgen/axi_qpsk_tx_clock.xdc
@@ -1 +1 @@
-create_clock -name clk -period 39.063 [get_ports clk]
+create_clock -name clk -period 39.063 [get_ports clk]
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bd b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bd
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bmm b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bmm
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bmm
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bmm
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bxml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bxml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bxml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd.bxml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd_ooc.xdc b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd_ooc.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd_ooc.xdc
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/axi_qpsk_tx_bd_ooc.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/hdl/axi_qpsk_tx_bd_wrapper.vhd b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/hdl/axi_qpsk_tx_bd_wrapper.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/hdl/axi_qpsk_tx_bd_wrapper.vhd
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/hdl/axi_qpsk_tx_bd_wrapper.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_axi_qpsk_tx_1_0/axi_qpsk_tx_bd_axi_qpsk_tx_1_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_axi_qpsk_tx_1_0/axi_qpsk_tx_bd_axi_qpsk_tx_1_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_axi_qpsk_tx_1_0/axi_qpsk_tx_bd_axi_qpsk_tx_1_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_axi_qpsk_tx_1_0/axi_qpsk_tx_bd_axi_qpsk_tx_1_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_axi_qpsk_tx_1_0/axi_qpsk_tx_bd_axi_qpsk_tx_1_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_axi_qpsk_tx_1_0/axi_qpsk_tx_bd_axi_qpsk_tx_1_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_axi_qpsk_tx_1_0/axi_qpsk_tx_bd_axi_qpsk_tx_1_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_axi_qpsk_tx_1_0/axi_qpsk_tx_bd_axi_qpsk_tx_1_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_clk_wiz_1_0/axi_qpsk_tx_bd_clk_wiz_1_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_clk_wiz_1_0/axi_qpsk_tx_bd_clk_wiz_1_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_clk_wiz_1_0/axi_qpsk_tx_bd_clk_wiz_1_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_clk_wiz_1_0/axi_qpsk_tx_bd_clk_wiz_1_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_clk_wiz_1_0/axi_qpsk_tx_bd_clk_wiz_1_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_clk_wiz_1_0/axi_qpsk_tx_bd_clk_wiz_1_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_clk_wiz_1_0/axi_qpsk_tx_bd_clk_wiz_1_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_clk_wiz_1_0/axi_qpsk_tx_bd_clk_wiz_1_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0/axi_qpsk_tx_bd_dlmb_bram_if_cntlr_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_v10_0/axi_qpsk_tx_bd_dlmb_v10_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_v10_0/axi_qpsk_tx_bd_dlmb_v10_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_v10_0/axi_qpsk_tx_bd_dlmb_v10_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_v10_0/axi_qpsk_tx_bd_dlmb_v10_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_v10_0/axi_qpsk_tx_bd_dlmb_v10_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_v10_0/axi_qpsk_tx_bd_dlmb_v10_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_v10_0/axi_qpsk_tx_bd_dlmb_v10_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_dlmb_v10_0/axi_qpsk_tx_bd_dlmb_v10_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0/axi_qpsk_tx_bd_ilmb_bram_if_cntlr_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_v10_0/axi_qpsk_tx_bd_ilmb_v10_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_v10_0/axi_qpsk_tx_bd_ilmb_v10_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_v10_0/axi_qpsk_tx_bd_ilmb_v10_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_v10_0/axi_qpsk_tx_bd_ilmb_v10_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_v10_0/axi_qpsk_tx_bd_ilmb_v10_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_v10_0/axi_qpsk_tx_bd_ilmb_v10_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_v10_0/axi_qpsk_tx_bd_ilmb_v10_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_ilmb_v10_0/axi_qpsk_tx_bd_ilmb_v10_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_lmb_bram_0/axi_qpsk_tx_bd_lmb_bram_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_lmb_bram_0/axi_qpsk_tx_bd_lmb_bram_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_lmb_bram_0/axi_qpsk_tx_bd_lmb_bram_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_lmb_bram_0/axi_qpsk_tx_bd_lmb_bram_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_lmb_bram_0/axi_qpsk_tx_bd_lmb_bram_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_lmb_bram_0/axi_qpsk_tx_bd_lmb_bram_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_lmb_bram_0/axi_qpsk_tx_bd_lmb_bram_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_lmb_bram_0/axi_qpsk_tx_bd_lmb_bram_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_mdm_1_0/axi_qpsk_tx_bd_mdm_1_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_mdm_1_0/axi_qpsk_tx_bd_mdm_1_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_mdm_1_0/axi_qpsk_tx_bd_mdm_1_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_mdm_1_0/axi_qpsk_tx_bd_mdm_1_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_mdm_1_0/axi_qpsk_tx_bd_mdm_1_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_mdm_1_0/axi_qpsk_tx_bd_mdm_1_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_mdm_1_0/axi_qpsk_tx_bd_mdm_1_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_mdm_1_0/axi_qpsk_tx_bd_mdm_1_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_0/axi_qpsk_tx_bd_microblaze_1_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_0/axi_qpsk_tx_bd_microblaze_1_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_0/axi_qpsk_tx_bd_microblaze_1_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_0/axi_qpsk_tx_bd_microblaze_1_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_0/axi_qpsk_tx_bd_microblaze_1_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_0/axi_qpsk_tx_bd_microblaze_1_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_0/axi_qpsk_tx_bd_microblaze_1_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_0/axi_qpsk_tx_bd_microblaze_1_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_axi_periph_0/axi_qpsk_tx_bd_microblaze_1_axi_periph_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_axi_periph_0/axi_qpsk_tx_bd_microblaze_1_axi_periph_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_axi_periph_0/axi_qpsk_tx_bd_microblaze_1_axi_periph_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_axi_periph_0/axi_qpsk_tx_bd_microblaze_1_axi_periph_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_axi_periph_0/axi_qpsk_tx_bd_microblaze_1_axi_periph_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_axi_periph_0/axi_qpsk_tx_bd_microblaze_1_axi_periph_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_axi_periph_0/axi_qpsk_tx_bd_microblaze_1_axi_periph_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_microblaze_1_axi_periph_0/axi_qpsk_tx_bd_microblaze_1_axi_periph_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/ip/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0/axi_qpsk_tx_bd_rst_clk_wiz_1_100M_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/sim/axi_qpsk_tx_bd.vhd b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/sim/axi_qpsk_tx_bd.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/sim/axi_qpsk_tx_bd.vhd
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/sim/axi_qpsk_tx_bd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/synth/axi_qpsk_tx_bd.vhd b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/synth/axi_qpsk_tx_bd.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/synth/axi_qpsk_tx_bd.vhd
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/bd/axi_qpsk_tx_bd/synth/axi_qpsk_tx_bd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/imports/hdl/axi_qpsk_tx_bd_wrapper.vhd b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/imports/hdl/axi_qpsk_tx_bd_wrapper.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/imports/hdl/axi_qpsk_tx_bd_wrapper.vhd
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/imports/hdl/axi_qpsk_tx_bd_wrapper.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/imports/sysgen/axi_qpsk_tx_mod.vhd b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/imports/sysgen/axi_qpsk_tx_mod.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/imports/sysgen/axi_qpsk_tx_mod.vhd
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/imports/sysgen/axi_qpsk_tx_mod.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/ip/axi_qpsk_tx_0/axi_qpsk_tx_0.xci b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/ip/axi_qpsk_tx_0/axi_qpsk_tx_0.xci
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/ip/axi_qpsk_tx_0/axi_qpsk_tx_0.xci
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/ip/axi_qpsk_tx_0/axi_qpsk_tx_0.xci
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/ip/axi_qpsk_tx_0/axi_qpsk_tx_0.xml b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/ip/axi_qpsk_tx_0/axi_qpsk_tx_0.xml
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/ip/axi_qpsk_tx_0/axi_qpsk_tx_0.xml
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.srcs/sources_1/ip/axi_qpsk_tx_0/axi_qpsk_tx_0.xml
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.xpr b/boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.xpr
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/ip_catalog/axi_qpsk_tx.xpr
rename to boards/ip/iprepo/tx/ip_catalog/axi_qpsk_tx.xpr
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/Makefile.mak b/boards/ip/iprepo/tx/sysgen/Makefile.mak
old mode 100755
new mode 100644
similarity index 95%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/Makefile.mak
rename to boards/ip/iprepo/tx/sysgen/Makefile.mak
index 91ead0f..1a16214
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/Makefile.mak
+++ b/boards/ip/iprepo/tx/sysgen/Makefile.mak
@@ -1,23 +1,23 @@
-COMPILER=
-ARCHIVER=
-CP=cp
-COMPILER_FLAGS=
-EXTRA_COMPILER_FLAGS=
-LIB=libxil.a
-
-RELEASEDIR=../../../lib
-INCLUDEDIR=../../../include
-INCLUDES=-I./. -I${INCLUDEDIR}
-
-INCLUDEFILES=*.h
-LIBSOURCES=*.c
-OUTS = *.o
-libs:
- echo "Compiling foo_top_top"
- $(COMPILER) $(COMPILER_FLAGS) $(EXTRA_COMPILER_FLAGS) $(INCLUDES) $(LIBSOURCES)
- $(ARCHIVER) -r ${RELEASEDIR}/${LIB} $(OUTS)
- make clean
-include:
- ${CP} $(INCLUDEFILES) $(INCLUDEDIR)
-clean:
- rm -rf ${OUTS}
+COMPILER=
+ARCHIVER=
+CP=cp
+COMPILER_FLAGS=
+EXTRA_COMPILER_FLAGS=
+LIB=libxil.a
+
+RELEASEDIR=../../../lib
+INCLUDEDIR=../../../include
+INCLUDES=-I./. -I${INCLUDEDIR}
+
+INCLUDEFILES=*.h
+LIBSOURCES=*.c
+OUTS = *.o
+libs:
+ echo "Compiling foo_top_top"
+ $(COMPILER) $(COMPILER_FLAGS) $(EXTRA_COMPILER_FLAGS) $(INCLUDES) $(LIBSOURCES)
+ $(ARCHIVER) -r ${RELEASEDIR}/${LIB} $(OUTS)
+ make clean
+include:
+ ${CP} $(INCLUDEFILES) $(INCLUDEDIR)
+clean:
+ rm -rf ${OUTS}
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.c b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.c
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.h b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.h
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.h
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.h
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.mdd b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.mdd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.mdd
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.mdd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.mtcl b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.mtcl
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.mtcl
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.mtcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.vhd b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.vhd
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.vhd.at b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.vhd.at
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.vhd.at
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.vhd.at
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.vho b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.vho
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.vho
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.vho
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.xdc b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.xdc
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx.xdc
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx.xdc
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_axi_lite_interface_verilog.v b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_axi_lite_interface_verilog.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_axi_lite_interface_verilog.v
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_axi_lite_interface_verilog.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_clock.xdc b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_clock.xdc
old mode 100755
new mode 100644
similarity index 98%
rename from boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_clock.xdc
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_clock.xdc
index 1f365d4..8fbdecb
--- a/boards/ip/sysgen/iprepo/zcu111/rx/rx_rrc/sysgen/axi_qpsk_rx_rrc_clock.xdc
+++ b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_clock.xdc
@@ -1 +1 @@
-create_clock -name clk -period 39.063 [get_ports clk]
+create_clock -name clk -period 39.063 [get_ports clk]
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_entity_declarations.vhd b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_entity_declarations.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_entity_declarations.vhd
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_entity_declarations.vhd
diff --git a/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_false_paths.xdc b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_false_paths.xdc
new file mode 100644
index 0000000..e69de29
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_hw.h b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_hw.h
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_hw.h
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_hw.h
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_linux.c b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_linux.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_linux.c
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_linux.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_mod.vhd b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_mod.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_mod.vhd
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_mod.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_sinit.c b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_sinit.c
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_sinit.c
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_sinit.c
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_stub.vhd b/boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_stub.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/axi_qpsk_tx_stub.vhd
rename to boards/ip/iprepo/tx/sysgen/axi_qpsk_tx_stub.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/conv_pkg.v b/boards/ip/iprepo/tx/sysgen/conv_pkg.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/conv_pkg.v
rename to boards/ip/iprepo/tx/sysgen/conv_pkg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/conv_pkg.vhd b/boards/ip/iprepo/tx/sysgen/conv_pkg.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/conv_pkg.vhd
rename to boards/ip/iprepo/tx/sysgen/conv_pkg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/convert_type.v b/boards/ip/iprepo/tx/sysgen/convert_type.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/convert_type.v
rename to boards/ip/iprepo/tx/sysgen/convert_type.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/index.html b/boards/ip/iprepo/tx/sysgen/index.html
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/index.html
rename to boards/ip/iprepo/tx/sysgen/index.html
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/single_reg_w_init.vhd b/boards/ip/iprepo/tx/sysgen/single_reg_w_init.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/single_reg_w_init.vhd
rename to boards/ip/iprepo/tx/sysgen/single_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/srl17e.vhd b/boards/ip/iprepo/tx/sysgen/srl17e.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/srl17e.vhd
rename to boards/ip/iprepo/tx/sysgen/srl17e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/srl33e.vhd b/boards/ip/iprepo/tx/sysgen/srl33e.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/srl33e.vhd
rename to boards/ip/iprepo/tx/sysgen/srl33e.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg.v b/boards/ip/iprepo/tx/sysgen/synth_reg.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg.v
rename to boards/ip/iprepo/tx/sysgen/synth_reg.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg.vhd b/boards/ip/iprepo/tx/sysgen/synth_reg.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg.vhd
rename to boards/ip/iprepo/tx/sysgen/synth_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg_reg.vhd b/boards/ip/iprepo/tx/sysgen/synth_reg_reg.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg_reg.vhd
rename to boards/ip/iprepo/tx/sysgen/synth_reg_reg.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg_w_init.v b/boards/ip/iprepo/tx/sysgen/synth_reg_w_init.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg_w_init.v
rename to boards/ip/iprepo/tx/sysgen/synth_reg_w_init.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg_w_init.vhd b/boards/ip/iprepo/tx/sysgen/synth_reg_w_init.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/synth_reg_w_init.vhd
rename to boards/ip/iprepo/tx/sysgen/synth_reg_w_init.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/sysgen_icon_100.png b/boards/ip/iprepo/tx/sysgen/sysgen_icon_100.png
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/sysgen_icon_100.png
rename to boards/ip/iprepo/tx/sysgen/sysgen_icon_100.png
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/vivado_ip.tcl b/boards/ip/iprepo/tx/sysgen/vivado_ip.tcl
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/vivado_ip.tcl
rename to boards/ip/iprepo/tx/sysgen/vivado_ip.tcl
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/xlaxi_qpsk_tx_xfft_v9_1_i0_721f9082826645792fb18bff206302d6.v b/boards/ip/iprepo/tx/sysgen/xlaxi_qpsk_tx_xfft_v9_1_i0_721f9082826645792fb18bff206302d6.v
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/xlaxi_qpsk_tx_xfft_v9_1_i0_721f9082826645792fb18bff206302d6.v
rename to boards/ip/iprepo/tx/sysgen/xlaxi_qpsk_tx_xfft_v9_1_i0_721f9082826645792fb18bff206302d6.v
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/sysgen/xlclockdriver_rd.vhd b/boards/ip/iprepo/tx/sysgen/xlclockdriver_rd.vhd
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/sysgen/xlclockdriver_rd.vhd
rename to boards/ip/iprepo/tx/sysgen/xlclockdriver_rd.vhd
diff --git a/boards/ip/sysgen/iprepo/zcu111/tx/vivado_4172.backup.jou b/boards/ip/iprepo/tx/vivado_4172.backup.jou
similarity index 100%
rename from boards/ip/sysgen/iprepo/zcu111/tx/vivado_4172.backup.jou
rename to boards/ip/iprepo/tx/vivado_4172.backup.jou
diff --git a/boards/ip/sysgen/iprepo/axis_signal_join/sim/axis_join.vhd b/boards/ip/sysgen/iprepo/axis_signal_join/sim/axis_join.vhd
deleted file mode 100755
index 77978ff..0000000
--- a/boards/ip/sysgen/iprepo/axis_signal_join/sim/axis_join.vhd
+++ /dev/null
@@ -1,56 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity axis_signal_join is
- generic (
- INPUT_WIDTH_U : integer := 16;
- INPUT_WIDTH_L : integer := 16
- );
- port (
- clk : in std_logic;
-
- s_axis_tdata_u : in std_logic_vector(INPUT_WIDTH_U-1 downto 0);
- s_axis_tvalid_u : in std_logic;
- s_axis_tready_u : out std_logic;
- s_axis_tlast_u : in std_logic;
-
- s_axis_tdata_l : in std_logic_vector(INPUT_WIDTH_L-1 downto 0);
- s_axis_tvalid_l : in std_logic;
- s_axis_tready_l : out std_logic;
- s_axis_tlast_l : in std_logic;
-
- m_axis_tdata : out std_logic_vector((INPUT_WIDTH_U + INPUT_WIDTH_L)-1 downto 0);
- m_axis_tvalid : out std_logic;
- m_axis_tready : in std_logic;
- m_axis_tlast : out std_logic
- );
-end entity;
-
-architecture arch of axis_signal_join is
-begin
-
- signal_splitter : entity work.signal_join
- generic map (
- INPUT_WIDTH_U => INPUT_WIDTH_U,
- INPUT_WIDTH_L => INPUT_WIDTH_L
- )
- port map (
- clk => clk,
-
- sj_in_data_u => s_axis_tdata_u,
- sj_in_valid_u => s_axis_tvalid_u,
- sj_in_ready_u => s_axis_tready_u,
- sj_in_last_u => s_axis_tlast_u,
-
- sj_in_data_l => s_axis_tdata_l,
- sj_in_valid_l => s_axis_tvalid_l,
- sj_in_ready_l => s_axis_tready_l,
- sj_in_last_l => s_axis_tlast_l,
-
- sj_out_data => m_axis_tdata,
- sj_out_valid => m_axis_tvalid,
- sj_out_ready => m_axis_tready,
- sj_out_last => m_axis_tlast
- );
-
-end architecture;
\ No newline at end of file
diff --git a/boards/ip/sysgen/iprepo/axis_signal_join/src/signal_join.vhd b/boards/ip/sysgen/iprepo/axis_signal_join/src/signal_join.vhd
deleted file mode 100755
index 249d5e8..0000000
--- a/boards/ip/sysgen/iprepo/axis_signal_join/src/signal_join.vhd
+++ /dev/null
@@ -1,50 +0,0 @@
-
--- Signal Join
--- Copyright (C) 2019 Josh Goldsmith
-
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity signal_join is
- generic (
- INPUT_WIDTH_U : integer := 16;
- INPUT_WIDTH_L : integer := 16
- );
- port (
- clk : in std_logic;
-
- -- AXI Slave input (Upper)
- sj_in_data_u : in std_logic_vector(INPUT_WIDTH_U-1 downto 0);
- sj_in_valid_u : in std_logic;
- sj_in_ready_u : out std_logic := '0';
- sj_in_last_u : in std_logic;
- -- AXI Slave input (Lower)
- sj_in_data_l : in std_logic_vector(INPUT_WIDTH_L-1 downto 0);
- sj_in_valid_l : in std_logic;
- sj_in_ready_l : out std_logic := '0';
- sj_in_last_l : in std_logic;
- -- AXI Master output
- sj_out_data : out std_logic_vector((INPUT_WIDTH_U + INPUT_WIDTH_L)-1 downto 0);
- sj_out_valid : out std_logic := '0';
- sj_out_ready : in std_logic;
- sj_out_last : out std_logic
- );
-end entity;
-
-architecture arch of signal_join is
-
-constant OUTPUT_WIDTH : integer := INPUT_WIDTH_U + INPUT_WIDTH_L;
-
-begin
-
- sj_in_ready_u <= '1' when sj_out_ready = '1';
- sj_in_ready_l <= '1' when sj_out_ready = '1';
-
- sj_out_data(OUTPUT_WIDTH-1 downto INPUT_WIDTH_L) <= sj_in_data_u;
- sj_out_data(INPUT_WIDTH_L-1 downto 0) <= sj_in_data_l;
- sj_out_valid <= '1' when (sj_in_valid_u = '1' and sj_in_valid_l = '1');
- sj_out_last <= '1' when (sj_in_last_u = '1' and sj_in_last_l = '1');
-
-end architecture;
-
-
diff --git a/boards/ip/sysgen/iprepo/axis_signal_splitter/sim/axis_splitter.vhd b/boards/ip/sysgen/iprepo/axis_signal_splitter/sim/axis_splitter.vhd
deleted file mode 100755
index b9fc48d..0000000
--- a/boards/ip/sysgen/iprepo/axis_signal_splitter/sim/axis_splitter.vhd
+++ /dev/null
@@ -1,54 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity axis_signal_splitter is
- generic (
- INPUT_WIDTH : integer := 32
- );
- port (
- clk : in std_logic;
-
- s_axis_tdata : in std_logic_vector(INPUT_WIDTH-1 downto 0);
- s_axis_tvalid : in std_logic;
- s_axis_tready : out std_logic;
- s_axis_tlast : in std_logic;
-
- m_axis_tdata_u : out std_logic_vector((INPUT_WIDTH/2)-1 downto 0);
- m_axis_tvalid_u : out std_logic;
- m_axis_tready_u : in std_logic;
- m_axis_tlast_u : out std_logic;
-
- m_axis_tdata_l : out std_logic_vector((INPUT_WIDTH/2)-1 downto 0);
- m_axis_tvalid_l : out std_logic;
- m_axis_tready_l : in std_logic;
- m_axis_tlast_l : out std_logic
- );
-end entity;
-
-architecture arch of axis_signal_splitter is
-begin
-
- signal_splitter_i : entity work.signal_splitter
- generic map (
- INPUT_WIDTH => INPUT_WIDTH
- )
- port map (
- clk => clk,
-
- ss_in_data => s_axis_tdata,
- ss_in_valid => s_axis_tvalid,
- ss_in_ready => s_axis_tready,
- ss_in_last => s_axis_tlast,
-
- ss_out_data_u => m_axis_tdata_u,
- ss_out_valid_u => m_axis_tvalid_u,
- ss_out_ready_u => m_axis_tready_u,
- ss_out_last_u => m_axis_tlast_u,
-
- ss_out_data_l => m_axis_tdata_l,
- ss_out_valid_l => m_axis_tvalid_l,
- ss_out_ready_l => m_axis_tready_l,
- ss_out_last_l => m_axis_tlast_l
- );
-
-end architecture;
\ No newline at end of file
diff --git a/boards/ip/sysgen/iprepo/axis_signal_splitter/src/signal_splitter.vhd b/boards/ip/sysgen/iprepo/axis_signal_splitter/src/signal_splitter.vhd
deleted file mode 100755
index dc2cdf0..0000000
--- a/boards/ip/sysgen/iprepo/axis_signal_splitter/src/signal_splitter.vhd
+++ /dev/null
@@ -1,48 +0,0 @@
-
--- Signal Splitter
--- Copyright (C) 2019 Josh Goldsmith
-
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity signal_splitter is
- generic (
- INPUT_WIDTH : integer := 32
- );
- port (
- clk : in std_logic;
-
- -- AXI Slave input
- ss_in_data : in std_logic_vector(INPUT_WIDTH-1 downto 0);
- ss_in_valid : in std_logic;
- ss_in_ready : out std_logic := '0';
- ss_in_last : in std_logic;
- -- AXI Master output (Upper)
- ss_out_data_u : out std_logic_vector((INPUT_WIDTH/2)-1 downto 0);
- ss_out_valid_u : out std_logic := '0';
- ss_out_ready_u : in std_logic;
- ss_out_last_u : out std_logic;
- -- AXI Master output (Lower)
- ss_out_data_l : out std_logic_vector((INPUT_WIDTH/2)-1 downto 0);
- ss_out_valid_l : out std_logic := '0';
- ss_out_ready_l : in std_logic;
- ss_out_last_l : out std_logic
- );
-end entity;
-
-architecture arch of signal_splitter is
-begin
-
- ss_in_ready <= '1' when (ss_out_ready_u = '1' and ss_out_ready_l = '1');
-
- ss_out_data_u <= ss_in_data((INPUT_WIDTH-1) downto (INPUT_WIDTH/2));
- ss_out_valid_u <= '1' when ss_in_valid = '1';
- ss_out_last_u <= '1' when ss_in_last = '1';
-
- ss_out_data_l <= ss_in_data(((INPUT_WIDTH/2)-1) downto 0);
- ss_out_valid_l <= '1' when ss_in_valid = '1';
- ss_out_last_l <= '1' when ss_in_last = '1';
-
-end architecture;
-
-
diff --git a/boards/ip/sysgen/rx/axi_qpsk_rx_csync.slx b/boards/ip/sysgen/rx/axi_qpsk_rx_csync.slx
index 1ae23a7..ce11cda 100644
Binary files a/boards/ip/sysgen/rx/axi_qpsk_rx_csync.slx and b/boards/ip/sysgen/rx/axi_qpsk_rx_csync.slx differ
diff --git a/boards/ip/sysgen/rx/axi_qpsk_rx_dec.slx b/boards/ip/sysgen/rx/axi_qpsk_rx_dec.slx
index 2b8079d..8be57c3 100644
Binary files a/boards/ip/sysgen/rx/axi_qpsk_rx_dec.slx and b/boards/ip/sysgen/rx/axi_qpsk_rx_dec.slx differ
diff --git a/boards/ip/sysgen/rx/axi_qpsk_rx_rrc.slx b/boards/ip/sysgen/rx/axi_qpsk_rx_rrc.slx
index ac9e80b..eb2afa6 100644
Binary files a/boards/ip/sysgen/rx/axi_qpsk_rx_rrc.slx and b/boards/ip/sysgen/rx/axi_qpsk_rx_rrc.slx differ
diff --git a/boards/ip/sysgen/rx/axi_qpsk_rx_tsync.slx b/boards/ip/sysgen/rx/axi_qpsk_rx_tsync.slx
index dfeffa6..c2ccdbb 100644
Binary files a/boards/ip/sysgen/rx/axi_qpsk_rx_tsync.slx and b/boards/ip/sysgen/rx/axi_qpsk_rx_tsync.slx differ
diff --git a/boards/ip/sysgen/tx/AXI_QPSK_Tx.slx b/boards/ip/sysgen/tx/AXI_QPSK_Tx.slx
index 135bebd..b8407d1 100755
Binary files a/boards/ip/sysgen/tx/AXI_QPSK_Tx.slx and b/boards/ip/sysgen/tx/AXI_QPSK_Tx.slx differ
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/component.xml b/boards/ip/vivado/iprepo/axis_signal_join/component.xml
deleted file mode 100644
index 6cd45b0..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/component.xml
+++ /dev/null
@@ -1,522 +0,0 @@
-
-
- xilinx.com
- user
- axis_signal_join
- 1.0
-
-
- m_axis
-
-
-
-
-
-
- TDATA
-
-
- m_axis_tdata
-
-
-
-
- TLAST
-
-
- m_axis_tlast
-
-
-
-
- TVALID
-
-
- m_axis_tvalid
-
-
-
-
- TREADY
-
-
- m_axis_tready
-
-
-
-
-
- s_axis_l
-
-
-
-
-
-
- TDATA
-
-
- s_axis_tdata_l
-
-
-
-
- TLAST
-
-
- s_axis_tlast_l
-
-
-
-
- TVALID
-
-
- s_axis_tvalid_l
-
-
-
-
- TREADY
-
-
- s_axis_tready_l
-
-
-
-
-
- s_axis_u
-
-
-
-
-
-
- TDATA
-
-
- s_axis_tdata_u
-
-
-
-
- TLAST
-
-
- s_axis_tlast_u
-
-
-
-
- TVALID
-
-
- s_axis_tvalid_u
-
-
-
-
- TREADY
-
-
- s_axis_tready_u
-
-
-
-
-
- clk
-
-
-
-
-
-
- CLK
-
-
- clk
-
-
-
-
-
- ASSOCIATED_BUSIF
- m_axis:s_axis_l:s_axis_u
-
-
- FREQ_HZ
- 128000000
-
-
-
-
-
-
-
- xilinx_anylanguagesynthesis
- Synthesis
- :vivado.xilinx.com:synthesis
- VHDL
- axis_signal_join
-
- xilinx_anylanguagesynthesis_view_fileset
-
-
-
- viewChecksum
- f88252be
-
-
-
-
- xilinx_anylanguagebehavioralsimulation
- Simulation
- :vivado.xilinx.com:simulation
- VHDL
- axis_signal_join
-
- xilinx_anylanguagebehavioralsimulation_view_fileset
-
-
-
- viewChecksum
- dda26d44
-
-
-
-
- xilinx_xpgui
- UI Layout
- :vivado.xilinx.com:xgui.ui
-
- xilinx_xpgui_view_fileset
-
-
-
- viewChecksum
- b553c847
-
-
-
-
-
-
- clk
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- s_axis_tdata_u
-
- in
-
- 15
- 0
-
-
-
- std_logic_vector
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 0
-
-
-
-
- s_axis_tvalid_u
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- s_axis_tready_u
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- s_axis_tlast_u
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 0
-
-
-
-
- s_axis_tdata_l
-
- in
-
- 15
- 0
-
-
-
- std_logic_vector
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 0
-
-
-
-
- s_axis_tvalid_l
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- s_axis_tready_l
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- s_axis_tlast_l
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 0
-
-
-
-
- m_axis_tdata
-
- out
-
- 31
- 0
-
-
-
- std_logic_vector
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- m_axis_tvalid
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- m_axis_tready
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 1
-
-
-
-
- m_axis_tlast
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
-
-
- INPUT_WIDTH_U
- Input Width U
- 16
-
-
- INPUT_WIDTH_L
- Input Width L
- 16
-
-
-
-
-
- xilinx_anylanguagesynthesis_view_fileset
-
- src/signal_join.vhd
- vhdlSource
- IMPORTED_FILE
-
-
- src/axis_join.vhd
- vhdlSource
- CHECKSUM_d4e614d2
- IMPORTED_FILE
-
-
-
- xilinx_anylanguagebehavioralsimulation_view_fileset
-
- sim/signal_join.vhd
- vhdlSource
- IMPORTED_FILE
-
-
- sim/axis_join.vhd
- vhdlSource
- IMPORTED_FILE
-
-
-
- xilinx_xpgui_view_fileset
-
- xgui/axis_signal_join_v1_0.tcl
- tclSource
- CHECKSUM_b553c847
- XGUI_VERSION_2
-
-
-
- axis_signal_join_v1_0
-
-
- INPUT_WIDTH_U
- Input Width U
- 16
-
-
- INPUT_WIDTH_L
- Input Width L
- 16
-
-
- Component_Name
- axis_signal_join_v1_0
-
-
-
-
-
- virtex7
- qvirtex7
- kintex7
- kintex7l
- qkintex7
- qkintex7l
- artix7
- artix7l
- aartix7
- qartix7
- zynq
- qzynq
- azynq
- spartan7
- aspartan7
- virtexu
- zynquplus
- virtexuplus
- virtexuplusHBM
- virtexuplus58g
- kintexuplus
- kintexu
-
-
- /UserIP
-
- axis_signal_join_v1_0
- package_project
- 2
- 2020-08-17T20:16:09Z
-
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_join
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_join
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_join
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_join
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_join
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_join
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_join
-
-
-
- 2020.1
-
-
-
-
-
-
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/src/axis_join.vhd b/boards/ip/vivado/iprepo/axis_signal_join/src/axis_join.vhd
deleted file mode 100755
index 77978ff..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/src/axis_join.vhd
+++ /dev/null
@@ -1,56 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity axis_signal_join is
- generic (
- INPUT_WIDTH_U : integer := 16;
- INPUT_WIDTH_L : integer := 16
- );
- port (
- clk : in std_logic;
-
- s_axis_tdata_u : in std_logic_vector(INPUT_WIDTH_U-1 downto 0);
- s_axis_tvalid_u : in std_logic;
- s_axis_tready_u : out std_logic;
- s_axis_tlast_u : in std_logic;
-
- s_axis_tdata_l : in std_logic_vector(INPUT_WIDTH_L-1 downto 0);
- s_axis_tvalid_l : in std_logic;
- s_axis_tready_l : out std_logic;
- s_axis_tlast_l : in std_logic;
-
- m_axis_tdata : out std_logic_vector((INPUT_WIDTH_U + INPUT_WIDTH_L)-1 downto 0);
- m_axis_tvalid : out std_logic;
- m_axis_tready : in std_logic;
- m_axis_tlast : out std_logic
- );
-end entity;
-
-architecture arch of axis_signal_join is
-begin
-
- signal_splitter : entity work.signal_join
- generic map (
- INPUT_WIDTH_U => INPUT_WIDTH_U,
- INPUT_WIDTH_L => INPUT_WIDTH_L
- )
- port map (
- clk => clk,
-
- sj_in_data_u => s_axis_tdata_u,
- sj_in_valid_u => s_axis_tvalid_u,
- sj_in_ready_u => s_axis_tready_u,
- sj_in_last_u => s_axis_tlast_u,
-
- sj_in_data_l => s_axis_tdata_l,
- sj_in_valid_l => s_axis_tvalid_l,
- sj_in_ready_l => s_axis_tready_l,
- sj_in_last_l => s_axis_tlast_l,
-
- sj_out_data => m_axis_tdata,
- sj_out_valid => m_axis_tvalid,
- sj_out_ready => m_axis_tready,
- sj_out_last => m_axis_tlast
- );
-
-end architecture;
\ No newline at end of file
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/src/signal_join.vhd b/boards/ip/vivado/iprepo/axis_signal_join/src/signal_join.vhd
deleted file mode 100755
index 249d5e8..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/src/signal_join.vhd
+++ /dev/null
@@ -1,50 +0,0 @@
-
--- Signal Join
--- Copyright (C) 2019 Josh Goldsmith
-
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity signal_join is
- generic (
- INPUT_WIDTH_U : integer := 16;
- INPUT_WIDTH_L : integer := 16
- );
- port (
- clk : in std_logic;
-
- -- AXI Slave input (Upper)
- sj_in_data_u : in std_logic_vector(INPUT_WIDTH_U-1 downto 0);
- sj_in_valid_u : in std_logic;
- sj_in_ready_u : out std_logic := '0';
- sj_in_last_u : in std_logic;
- -- AXI Slave input (Lower)
- sj_in_data_l : in std_logic_vector(INPUT_WIDTH_L-1 downto 0);
- sj_in_valid_l : in std_logic;
- sj_in_ready_l : out std_logic := '0';
- sj_in_last_l : in std_logic;
- -- AXI Master output
- sj_out_data : out std_logic_vector((INPUT_WIDTH_U + INPUT_WIDTH_L)-1 downto 0);
- sj_out_valid : out std_logic := '0';
- sj_out_ready : in std_logic;
- sj_out_last : out std_logic
- );
-end entity;
-
-architecture arch of signal_join is
-
-constant OUTPUT_WIDTH : integer := INPUT_WIDTH_U + INPUT_WIDTH_L;
-
-begin
-
- sj_in_ready_u <= '1' when sj_out_ready = '1';
- sj_in_ready_l <= '1' when sj_out_ready = '1';
-
- sj_out_data(OUTPUT_WIDTH-1 downto INPUT_WIDTH_L) <= sj_in_data_u;
- sj_out_data(INPUT_WIDTH_L-1 downto 0) <= sj_in_data_l;
- sj_out_valid <= '1' when (sj_in_valid_u = '1' and sj_in_valid_l = '1');
- sj_out_last <= '1' when (sj_in_last_u = '1' and sj_in_last_l = '1');
-
-end architecture;
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/gui_handlers.wdf b/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/gui_handlers.wdf
deleted file mode 100644
index ee80d82..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/gui_handlers.wdf
+++ /dev/null
@@ -1,31 +0,0 @@
-version:1
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f72656d6f76655f73656c65637465645f656c656d656e7473:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6164647265706f7369746f7279696e666f6469616c6f675f6f6b:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626f61726463686f6f7365725f626f6172645f7461626c65:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:36:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636c6f7365:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:33:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f727473:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746f6f6c73:34:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e6577697077697a6172645f696e636c7564655f69705f67656e6572617465645f66696c6573:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e6577697077697a6172645f69705f646566696e6974696f6e5f736f757263655f6c6f636174696f6e:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e6577697077697a6172645f7061636b6167655f626c6f636b5f64657369676e5f66726f6d5f63757272656e74:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e6577697077697a6172645f7061636b6167655f796f75725f63757272656e745f70726f6a6563745f757365:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e657770726f6a65637477697a6172645f646f5f6e6f745f737065636966795f736f75726365735f61745f746869735f74696d65:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f69705f7061636b616765725f77697a617264:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6e65775f70726f6a656374:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f637573746f6d5f636f6d6d616e6473:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67736469616c6f675f70726f6a6563745f74726565:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e677370726f6a65637469707265706f7369746f7279706167655f6164645f7265706f7369746f7279:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e677370726f6a65637469707265706f7369746f7279706167655f726566726573685f616c6c:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e677370726f6a65637469707265706f7369746f7279706167655f7265706f7369746f72795f63686f6f736572:32:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:31:00:00
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6d616b655f6c6f63616c5f636f70795f6f665f74686573655f66696c65735f696e746f:31:00:00
-eof:2858263192
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/java_command_handlers.wdf b/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/java_command_handlers.wdf
deleted file mode 100644
index 28e5fb9..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/java_command_handlers.wdf
+++ /dev/null
@@ -1,7 +0,0 @@
-version:1
-70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:31:00:00
-70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f736570726f6a656374:31:00:00
-70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:69707061636b6167657277697a61726468616e646c6572:32:00:00
-70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00
-70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:31:00:00
-eof:3146225592
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/project.wpc b/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/project.wpc
deleted file mode 100644
index 6888ede..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/project.wpc
+++ /dev/null
@@ -1,3 +0,0 @@
-version:1
-6d6f64655f636f756e7465727c4755494d6f6465:2
-eof:
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/webtalk_pa.xml b/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/webtalk_pa.xml
deleted file mode 100644
index 9b26701..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.cache/wt/webtalk_pa.xml
+++ /dev/null
@@ -1,64 +0,0 @@
-
-
-
-
-
-
--
-
-
-
-
-
-
--
-
-
-
-
-
-
--
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
--
-
-
-
-
-
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.hw/tmp_edit_project.lpr b/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.hw/tmp_edit_project.lpr
deleted file mode 100644
index 4577eea..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.hw/tmp_edit_project.lpr
+++ /dev/null
@@ -1,6 +0,0 @@
-
-
-
-
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.xpr b/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.xpr
deleted file mode 100644
index 3b3aa79..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/tmp_edit_project.xpr
+++ /dev/null
@@ -1,175 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- Vivado Synthesis Defaults
-
-
-
-
-
-
-
-
-
- Default settings for Implementation.
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_join/xgui/axis_signal_join_v1_0.tcl b/boards/ip/vivado/iprepo/axis_signal_join/xgui/axis_signal_join_v1_0.tcl
deleted file mode 100644
index aca52b6..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_join/xgui/axis_signal_join_v1_0.tcl
+++ /dev/null
@@ -1,40 +0,0 @@
-# Definitional proc to organize widgets for parameters.
-proc init_gui { IPINST } {
- ipgui::add_param $IPINST -name "Component_Name"
- #Adding Page
- set Page_0 [ipgui::add_page $IPINST -name "Page 0"]
- ipgui::add_param $IPINST -name "INPUT_WIDTH_L" -parent ${Page_0}
- ipgui::add_param $IPINST -name "INPUT_WIDTH_U" -parent ${Page_0}
-
-
-}
-
-proc update_PARAM_VALUE.INPUT_WIDTH_L { PARAM_VALUE.INPUT_WIDTH_L } {
- # Procedure called to update INPUT_WIDTH_L when any of the dependent parameters in the arguments change
-}
-
-proc validate_PARAM_VALUE.INPUT_WIDTH_L { PARAM_VALUE.INPUT_WIDTH_L } {
- # Procedure called to validate INPUT_WIDTH_L
- return true
-}
-
-proc update_PARAM_VALUE.INPUT_WIDTH_U { PARAM_VALUE.INPUT_WIDTH_U } {
- # Procedure called to update INPUT_WIDTH_U when any of the dependent parameters in the arguments change
-}
-
-proc validate_PARAM_VALUE.INPUT_WIDTH_U { PARAM_VALUE.INPUT_WIDTH_U } {
- # Procedure called to validate INPUT_WIDTH_U
- return true
-}
-
-
-proc update_MODELPARAM_VALUE.INPUT_WIDTH_U { MODELPARAM_VALUE.INPUT_WIDTH_U PARAM_VALUE.INPUT_WIDTH_U } {
- # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
- set_property value [get_property value ${PARAM_VALUE.INPUT_WIDTH_U}] ${MODELPARAM_VALUE.INPUT_WIDTH_U}
-}
-
-proc update_MODELPARAM_VALUE.INPUT_WIDTH_L { MODELPARAM_VALUE.INPUT_WIDTH_L PARAM_VALUE.INPUT_WIDTH_L } {
- # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
- set_property value [get_property value ${PARAM_VALUE.INPUT_WIDTH_L}] ${MODELPARAM_VALUE.INPUT_WIDTH_L}
-}
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/component.xml b/boards/ip/vivado/iprepo/axis_signal_splitter/component.xml
deleted file mode 100644
index 023e99d..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/component.xml
+++ /dev/null
@@ -1,509 +0,0 @@
-
-
- xilinx.com
- user
- axis_signal_splitter
- 1.0
-
-
- m_axis_l
-
-
-
-
-
-
- TDATA
-
-
- m_axis_tdata_l
-
-
-
-
- TLAST
-
-
- m_axis_tlast_l
-
-
-
-
- TVALID
-
-
- m_axis_tvalid_l
-
-
-
-
- TREADY
-
-
- m_axis_tready_l
-
-
-
-
-
- m_axis_u
-
-
-
-
-
-
- TDATA
-
-
- m_axis_tdata_u
-
-
-
-
- TLAST
-
-
- m_axis_tlast_u
-
-
-
-
- TVALID
-
-
- m_axis_tvalid_u
-
-
-
-
- TREADY
-
-
- m_axis_tready_u
-
-
-
-
-
- s_axis
-
-
-
-
-
-
- TDATA
-
-
- s_axis_tdata
-
-
-
-
- TLAST
-
-
- s_axis_tlast
-
-
-
-
- TVALID
-
-
- s_axis_tvalid
-
-
-
-
- TREADY
-
-
- s_axis_tready
-
-
-
-
-
- clk
-
-
-
-
-
-
- CLK
-
-
- clk
-
-
-
-
-
- ASSOCIATED_BUSIF
- m_axis_l:m_axis_u:s_axis
-
-
- FREQ_HZ
- 25600000
-
-
-
-
-
-
-
- xilinx_anylanguagesynthesis
- Synthesis
- :vivado.xilinx.com:synthesis
- VHDL
- axis_signal_splitter
-
- xilinx_anylanguagesynthesis_view_fileset
-
-
-
- viewChecksum
- 37ba135f
-
-
-
-
- xilinx_anylanguagebehavioralsimulation
- Simulation
- :vivado.xilinx.com:simulation
- VHDL
- axis_signal_splitter
-
- xilinx_anylanguagebehavioralsimulation_view_fileset
-
-
-
- viewChecksum
- 842d44bf
-
-
-
-
- xilinx_xpgui
- UI Layout
- :vivado.xilinx.com:xgui.ui
-
- xilinx_xpgui_view_fileset
-
-
-
- viewChecksum
- 74ebb18a
-
-
-
-
-
-
- clk
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- s_axis_tdata
-
- in
-
- 31
- 0
-
-
-
- std_logic_vector
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 0
-
-
-
-
- s_axis_tvalid
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- s_axis_tready
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- s_axis_tlast
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 0
-
-
-
-
- m_axis_tdata_u
-
- out
-
- 15
- 0
-
-
-
- std_logic_vector
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- m_axis_tvalid_u
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- m_axis_tready_u
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 1
-
-
-
-
- m_axis_tlast_u
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- m_axis_tdata_l
-
- out
-
- 15
- 0
-
-
-
- std_logic_vector
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- m_axis_tvalid_l
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
- m_axis_tready_l
-
- in
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
- 1
-
-
-
-
- m_axis_tlast_l
-
- out
-
-
- std_logic
- xilinx_anylanguagesynthesis
- xilinx_anylanguagebehavioralsimulation
-
-
-
-
-
-
-
- INPUT_WIDTH
- Input Width
- 32
-
-
-
-
-
- xilinx_anylanguagesynthesis_view_fileset
-
- src/signal_splitter.vhd
- vhdlSource
- IMPORTED_FILE
-
-
- src/axis_splitter.vhd
- vhdlSource
- CHECKSUM_91189d24
- IMPORTED_FILE
-
-
-
- xilinx_anylanguagebehavioralsimulation_view_fileset
-
- sim/signal_splitter.vhd
- vhdlSource
- IMPORTED_FILE
-
-
- sim/axis_splitter.vhd
- vhdlSource
- IMPORTED_FILE
-
-
-
- xilinx_xpgui_view_fileset
-
- xgui/axis_signal_splitter_v1_0.tcl
- tclSource
- CHECKSUM_74ebb18a
- XGUI_VERSION_2
-
-
-
- axis_signal_splitter_v1_0
-
-
- INPUT_WIDTH
- Input Width
- 32
-
-
- Component_Name
- axis_signal_splitter_v1_0
-
-
-
-
-
- virtex7
- qvirtex7
- kintex7
- kintex7l
- qkintex7
- qkintex7l
- artix7
- artix7l
- aartix7
- qartix7
- zynq
- qzynq
- azynq
- spartan7
- aspartan7
- virtexu
- zynquplus
- virtexuplus
- virtexuplusHBM
- virtexuplus58g
- kintexuplus
- kintexu
-
-
- /UserIP
-
- axis_signal_splitter_v1_0
- package_project
- 2
- 2020-08-17T20:11:21Z
-
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_splitter
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_splitter
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_splitter
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_splitter
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_splitter
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_splitter
- /group/xlabs-co/lewisd/rfsoc_qpsk/boards/ip/vivado/iprepo/axis_signal_splitter
-
-
-
- 2020.1
-
-
-
-
-
-
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/src/axis_splitter.vhd b/boards/ip/vivado/iprepo/axis_signal_splitter/src/axis_splitter.vhd
deleted file mode 100755
index b9fc48d..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/src/axis_splitter.vhd
+++ /dev/null
@@ -1,54 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity axis_signal_splitter is
- generic (
- INPUT_WIDTH : integer := 32
- );
- port (
- clk : in std_logic;
-
- s_axis_tdata : in std_logic_vector(INPUT_WIDTH-1 downto 0);
- s_axis_tvalid : in std_logic;
- s_axis_tready : out std_logic;
- s_axis_tlast : in std_logic;
-
- m_axis_tdata_u : out std_logic_vector((INPUT_WIDTH/2)-1 downto 0);
- m_axis_tvalid_u : out std_logic;
- m_axis_tready_u : in std_logic;
- m_axis_tlast_u : out std_logic;
-
- m_axis_tdata_l : out std_logic_vector((INPUT_WIDTH/2)-1 downto 0);
- m_axis_tvalid_l : out std_logic;
- m_axis_tready_l : in std_logic;
- m_axis_tlast_l : out std_logic
- );
-end entity;
-
-architecture arch of axis_signal_splitter is
-begin
-
- signal_splitter_i : entity work.signal_splitter
- generic map (
- INPUT_WIDTH => INPUT_WIDTH
- )
- port map (
- clk => clk,
-
- ss_in_data => s_axis_tdata,
- ss_in_valid => s_axis_tvalid,
- ss_in_ready => s_axis_tready,
- ss_in_last => s_axis_tlast,
-
- ss_out_data_u => m_axis_tdata_u,
- ss_out_valid_u => m_axis_tvalid_u,
- ss_out_ready_u => m_axis_tready_u,
- ss_out_last_u => m_axis_tlast_u,
-
- ss_out_data_l => m_axis_tdata_l,
- ss_out_valid_l => m_axis_tvalid_l,
- ss_out_ready_l => m_axis_tready_l,
- ss_out_last_l => m_axis_tlast_l
- );
-
-end architecture;
\ No newline at end of file
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/src/signal_splitter.vhd b/boards/ip/vivado/iprepo/axis_signal_splitter/src/signal_splitter.vhd
deleted file mode 100755
index dc2cdf0..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/src/signal_splitter.vhd
+++ /dev/null
@@ -1,48 +0,0 @@
-
--- Signal Splitter
--- Copyright (C) 2019 Josh Goldsmith
-
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity signal_splitter is
- generic (
- INPUT_WIDTH : integer := 32
- );
- port (
- clk : in std_logic;
-
- -- AXI Slave input
- ss_in_data : in std_logic_vector(INPUT_WIDTH-1 downto 0);
- ss_in_valid : in std_logic;
- ss_in_ready : out std_logic := '0';
- ss_in_last : in std_logic;
- -- AXI Master output (Upper)
- ss_out_data_u : out std_logic_vector((INPUT_WIDTH/2)-1 downto 0);
- ss_out_valid_u : out std_logic := '0';
- ss_out_ready_u : in std_logic;
- ss_out_last_u : out std_logic;
- -- AXI Master output (Lower)
- ss_out_data_l : out std_logic_vector((INPUT_WIDTH/2)-1 downto 0);
- ss_out_valid_l : out std_logic := '0';
- ss_out_ready_l : in std_logic;
- ss_out_last_l : out std_logic
- );
-end entity;
-
-architecture arch of signal_splitter is
-begin
-
- ss_in_ready <= '1' when (ss_out_ready_u = '1' and ss_out_ready_l = '1');
-
- ss_out_data_u <= ss_in_data((INPUT_WIDTH-1) downto (INPUT_WIDTH/2));
- ss_out_valid_u <= '1' when ss_in_valid = '1';
- ss_out_last_u <= '1' when ss_in_last = '1';
-
- ss_out_data_l <= ss_in_data(((INPUT_WIDTH/2)-1) downto 0);
- ss_out_valid_l <= '1' when ss_in_valid = '1';
- ss_out_last_l <= '1' when ss_in_last = '1';
-
-end architecture;
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/gui_handlers.wdf b/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/gui_handlers.wdf
deleted file mode 100644
index c12ff27..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/gui_handlers.wdf
+++ /dev/null
@@ -1,3 +0,0 @@
-version:1
-70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:31:00:00
-eof:319909081
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/java_command_handlers.wdf b/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/java_command_handlers.wdf
deleted file mode 100644
index f0860c3..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/java_command_handlers.wdf
+++ /dev/null
@@ -1,3 +0,0 @@
-version:1
-70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f736570726f6a656374:31:00:00
-eof:897216293
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/project.wpc b/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/project.wpc
deleted file mode 100644
index 6888ede..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/project.wpc
+++ /dev/null
@@ -1,3 +0,0 @@
-version:1
-6d6f64655f636f756e7465727c4755494d6f6465:2
-eof:
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/webtalk_pa.xml b/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/webtalk_pa.xml
deleted file mode 100644
index 314e33c..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.cache/wt/webtalk_pa.xml
+++ /dev/null
@@ -1,32 +0,0 @@
-
-
-
-
-
-
--
-
-
-
-
-
-
--
-
-
--
-
-
--
-
-
-
-
-
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.hw/tmp_edit_project.lpr b/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.hw/tmp_edit_project.lpr
deleted file mode 100644
index 4577eea..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.hw/tmp_edit_project.lpr
+++ /dev/null
@@ -1,6 +0,0 @@
-
-
-
-
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.xpr b/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.xpr
deleted file mode 100644
index 1bacb21..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/tmp_edit_project.xpr
+++ /dev/null
@@ -1,175 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- Vivado Synthesis Defaults
-
-
-
-
-
-
-
-
-
- Default settings for Implementation.
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
diff --git a/boards/ip/vivado/iprepo/axis_signal_splitter/xgui/axis_signal_splitter_v1_0.tcl b/boards/ip/vivado/iprepo/axis_signal_splitter/xgui/axis_signal_splitter_v1_0.tcl
deleted file mode 100644
index 8a879b3..0000000
--- a/boards/ip/vivado/iprepo/axis_signal_splitter/xgui/axis_signal_splitter_v1_0.tcl
+++ /dev/null
@@ -1,25 +0,0 @@
-# Definitional proc to organize widgets for parameters.
-proc init_gui { IPINST } {
- ipgui::add_param $IPINST -name "Component_Name"
- #Adding Page
- set Page_0 [ipgui::add_page $IPINST -name "Page 0"]
- ipgui::add_param $IPINST -name "INPUT_WIDTH" -parent ${Page_0}
-
-
-}
-
-proc update_PARAM_VALUE.INPUT_WIDTH { PARAM_VALUE.INPUT_WIDTH } {
- # Procedure called to update INPUT_WIDTH when any of the dependent parameters in the arguments change
-}
-
-proc validate_PARAM_VALUE.INPUT_WIDTH { PARAM_VALUE.INPUT_WIDTH } {
- # Procedure called to validate INPUT_WIDTH
- return true
-}
-
-
-proc update_MODELPARAM_VALUE.INPUT_WIDTH { MODELPARAM_VALUE.INPUT_WIDTH PARAM_VALUE.INPUT_WIDTH } {
- # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
- set_property value [get_property value ${PARAM_VALUE.INPUT_WIDTH}] ${MODELPARAM_VALUE.INPUT_WIDTH}
-}
-
diff --git a/demonstration.gif b/demonstration.gif
new file mode 100644
index 0000000..9fc466c
Binary files /dev/null and b/demonstration.gif differ
diff --git a/img/constellation_small.gif b/img/constellation_small.gif
deleted file mode 100644
index 0e25e78..0000000
Binary files a/img/constellation_small.gif and /dev/null differ
diff --git a/img/rfsoc_setup.png b/img/rfsoc_setup.png
deleted file mode 100644
index 9d57fad..0000000
Binary files a/img/rfsoc_setup.png and /dev/null differ
diff --git a/open_jupyter_launcher.jpg b/open_jupyter_launcher.jpg
new file mode 100644
index 0000000..3faad1d
Binary files /dev/null and b/open_jupyter_launcher.jpg differ
diff --git a/open_terminal_window.jpg b/open_terminal_window.jpg
new file mode 100644
index 0000000..e8c6346
Binary files /dev/null and b/open_terminal_window.jpg differ
diff --git a/rfsoc_qpsk/qpsk_rx.py b/rfsoc_qpsk/qpsk_rx.py
index 82b32ef..7e41719 100755
--- a/rfsoc_qpsk/qpsk_rx.py
+++ b/rfsoc_qpsk/qpsk_rx.py
@@ -1,6 +1,6 @@
from pynq import DefaultIP
from pynq import DefaultHierarchy
-from pynq import Xlnk
+from pynq import allocate
import numpy as np
@@ -50,8 +50,7 @@ def __init__(self, description, pkt_size, buf_dtype=np.int16, buf_words_per_pkt=
self.reset = 0
# Init buffer
- xlnk = Xlnk()
- self.buf = xlnk.cma_array(shape=(pkt_size * buf_words_per_pkt, ), dtype=np.int16)
+ self.buf = allocate(shape=(pkt_size * buf_words_per_pkt, ), dtype=np.int16)
def _process_frame(self, frame):
# By default treat frame as interleaved IQ stream.
diff --git a/rfsoc_qpsk/qpsk_tx.py b/rfsoc_qpsk/qpsk_tx.py
index d1200bc..4f6c049 100755
--- a/rfsoc_qpsk/qpsk_tx.py
+++ b/rfsoc_qpsk/qpsk_tx.py
@@ -1,6 +1,6 @@
from pynq import DefaultIP
from pynq import DefaultHierarchy
-from pynq import Xlnk
+from pynq import allocate
import numpy as np
@@ -14,10 +14,9 @@ def __init__(self, description, pkt_sym=16, pkt_time=128, pkt_fft=1024):
super().__init__(description)
- xlnk = Xlnk()
- self.buf_fft = xlnk.cma_array(shape=(pkt_fft, ), dtype=np.uint32)
- self.buf_sym = xlnk.cma_array(shape=(pkt_sym, ), dtype=np.uint8)
- self.buf_time = xlnk.cma_array(shape=(pkt_time * 2, ), dtype=np.int16)
+ self.buf_fft = allocate(shape=(pkt_fft, ), dtype=np.uint32)
+ self.buf_sym = allocate(shape=(pkt_sym, ), dtype=np.uint8)
+ self.buf_time = allocate(shape=(pkt_time * 2, ), dtype=np.int16)
# QPSK IP General Config
self.qpsk_tx.lfsr_rst = 1
diff --git a/setup.py b/setup.py
index 954aa46..69d155d 100755
--- a/setup.py
+++ b/setup.py
@@ -34,10 +34,14 @@
from setuptools import find_packages, setup
# global variables
+package_name = 'rfsoc_qpsk'
+pip_name = 'rfsoc-qpsk'
board = os.environ['BOARD']
-repo_board_folder = f'boards/{board}/rfsoc_qpsk'
+repo_board_folder = f'boards/{board}/{package_name}'
board_notebooks_dir = os.environ['PYNQ_JUPYTER_NOTEBOOKS']
-hw_data_files = []
+board_project_dir = os.path.join(board_notebooks_dir, 'qpsk-demonstrator')
+
+data_files = []
# check whether board is supported
@@ -52,28 +56,39 @@ def check_env():
# copy overlays to python package
def copy_overlays():
src_ol_dir = os.path.join(repo_board_folder, 'bitstream')
- dst_ol_dir = os.path.join('rfsoc_qpsk', 'bitstream')
+ dst_ol_dir = os.path.join(package_name, 'bitstream')
copy_tree(src_ol_dir, dst_ol_dir)
- hw_data_files.extend(
+ data_files.extend(
[os.path.join("..", dst_ol_dir, f) for f in os.listdir(dst_ol_dir)])
# copy notebooks to jupyter home
def copy_notebooks():
src_nb_dir = os.path.join(repo_board_folder, 'notebooks')
- dst_nb_dir = os.path.join(board_notebooks_dir, 'rfsoc_qpsk')
+ dst_nb_dir = os.path.join(board_project_dir)
if os.path.exists(dst_nb_dir):
shutil.rmtree(dst_nb_dir)
copy_tree(src_nb_dir, dst_nb_dir)
+# copy driver to python package
+def copy_drivers():
+ src_dr_dir = os.path.join(repo_board_folder, 'drivers')
+ dst_dr_dir = os.path.join(package_name)
+ copy_tree(src_dr_dir, dst_dr_dir)
+ data_files.extend(
+ [os.path.join("..", dst_dr_dir, f) for f in os.listdir(dst_dr_dir)])
+
+
check_env()
copy_overlays()
+copy_drivers()
copy_notebooks()
+
setup(
name="rfsoc_qpsk",
- version='1.2',
+ version='1.3',
install_requires=[
'pynq==2.6',
'plotly==4.5.2',
@@ -84,6 +99,6 @@ def copy_notebooks():
author_email="craig.ramsay.100@strath.ac.uk",
packages=find_packages(),
package_data={
- '': hw_data_files,
+ '': data_files,
},
description="PYNQ example of using the RFSoC as a QPSK transceiver")
diff --git a/strathclyde_banner.png b/strathclyde_banner.png
new file mode 100644
index 0000000..86336b5
Binary files /dev/null and b/strathclyde_banner.png differ