This repository has been archived by the owner on May 16, 2023. It is now read-only.
-
Notifications
You must be signed in to change notification settings - Fork 0
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
- Loading branch information
1 parent
1b7b8d7
commit c08ed34
Showing
25 changed files
with
5,766 additions
and
0 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,6 @@ | ||
QUARTUS_VERSION = "18.1" | ||
DATE = "11:00:00 February 28, 2023" | ||
|
||
# Revisions | ||
|
||
PROJECT_REVISION = "ece385lab6" |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,17 @@ | ||
create_clock -period "10.0 MHz" [get_ports ADC_CLK_10] | ||
create_clock -period "50.0 MHz" [get_ports MAX10_CLK1_50] | ||
create_clock -period "50.0 MHz" [get_ports MAX10_CLK2_50] | ||
create_generated_clock -source [get_pins { u0|altpll_0|sd1|pll7|clk[1] }] -name clk_dram_ext [get_ports {DRAM_CLK}] | ||
derive_pll_clocks | ||
derive_clock_uncertainty | ||
|
||
set_input_delay -max -clock clk_dram_ext 5.9 [get_ports DRAM_DQ*] | ||
set_input_delay -min -clock clk_dram_ext 3.0 [get_ports DRAM_DQ*] | ||
set_multicycle_path -from [get_clocks {clk_dram_ext}] -to [get_clocks { u0|altpll_0|sd1|pll7|clk[0] }] -setup 2 | ||
set_output_delay -max -clock clk_dram_ext 1.6 [get_ports {DRAM_DQ* DRAM_*DQM}] | ||
set_output_delay -min -clock clk_dram_ext -0.9 [get_ports {DRAM_DQ* DRAM_*DQM}] | ||
set_output_delay -max -clock clk_dram_ext 1.6 [get_ports {DRAM_ADDR* DRAM_BA* DRAM_RAS_N DRAM_CAS_N DRAM_WE_N DRAM_CKE DRAM_CS_N}] | ||
set_output_delay -min -clock clk_dram_ext -0.9 [get_ports {DRAM_ADDR* DRAM_BA* DRAM_RAS_N DRAM_CAS_N DRAM_WE_N DRAM_CKE DRAM_CS_N}] | ||
|
||
set_false_path -from [get_ports {KEY* SW* DRAM* altera*}] -to * | ||
set_false_path -from * -to [get_ports {LEDR* HEX* DRAM* altera*}] |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,259 @@ | ||
set_global_assignment -name FAMILY "MAX 10" | ||
set_global_assignment -name DEVICE 10M50DAF484C7G | ||
set_global_assignment -name TOP_LEVEL_ENTITY lab61 | ||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0 | ||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:00:00 FEBRUARY 28, 2023" | ||
set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Lite Edition" | ||
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files | ||
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 | ||
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 | ||
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 | ||
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog)" | ||
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation | ||
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_id eda_simulation | ||
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA | ||
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 | ||
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 | ||
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" | ||
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" | ||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top | ||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top | ||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top | ||
set_global_assignment -name ENABLE_OCT_DONE OFF | ||
set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 00000000 | ||
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF | ||
set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "SINGLE IMAGE WITH ERAM" | ||
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF | ||
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise | ||
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall | ||
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise | ||
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall | ||
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" | ||
set_global_assignment -name SYSTEMVERILOG_FILE src/lab61.sv | ||
set_global_assignment -name QIP_FILE lab6_soc/synthesis/lab61_soc.qip | ||
set_global_assignment -name SDC_FILE lab6.sdc | ||
set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] | ||
set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 | ||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 | ||
set_location_assignment PIN_B8 -to KEY[0] | ||
set_location_assignment PIN_A7 -to KEY[1] | ||
set_location_assignment PIN_C10 -to SW[0] | ||
set_location_assignment PIN_C11 -to SW[1] | ||
set_location_assignment PIN_D12 -to SW[2] | ||
set_location_assignment PIN_C12 -to SW[3] | ||
set_location_assignment PIN_A12 -to SW[4] | ||
set_location_assignment PIN_B12 -to SW[5] | ||
set_location_assignment PIN_A13 -to SW[6] | ||
set_location_assignment PIN_A14 -to SW[7] | ||
set_location_assignment PIN_B14 -to SW[8] | ||
set_location_assignment PIN_F15 -to SW[9] | ||
set_location_assignment PIN_A8 -to LEDR[0] | ||
set_location_assignment PIN_A9 -to LEDR[1] | ||
set_location_assignment PIN_A10 -to LEDR[2] | ||
set_location_assignment PIN_B10 -to LEDR[3] | ||
set_location_assignment PIN_D13 -to LEDR[4] | ||
set_location_assignment PIN_C13 -to LEDR[5] | ||
set_location_assignment PIN_E14 -to LEDR[6] | ||
set_location_assignment PIN_D14 -to LEDR[7] | ||
set_location_assignment PIN_A11 -to LEDR[8] | ||
set_location_assignment PIN_B11 -to LEDR[9] | ||
set_location_assignment PIN_C14 -to HEX0[0] | ||
set_location_assignment PIN_E15 -to HEX0[1] | ||
set_location_assignment PIN_C15 -to HEX0[2] | ||
set_location_assignment PIN_C16 -to HEX0[3] | ||
set_location_assignment PIN_E16 -to HEX0[4] | ||
set_location_assignment PIN_D17 -to HEX0[5] | ||
set_location_assignment PIN_C17 -to HEX0[6] | ||
set_location_assignment PIN_D15 -to HEX0[7] | ||
set_location_assignment PIN_C18 -to HEX1[0] | ||
set_location_assignment PIN_D18 -to HEX1[1] | ||
set_location_assignment PIN_E18 -to HEX1[2] | ||
set_location_assignment PIN_B16 -to HEX1[3] | ||
set_location_assignment PIN_A17 -to HEX1[4] | ||
set_location_assignment PIN_A18 -to HEX1[5] | ||
set_location_assignment PIN_B17 -to HEX1[6] | ||
set_location_assignment PIN_A16 -to HEX1[7] | ||
set_location_assignment PIN_B20 -to HEX2[0] | ||
set_location_assignment PIN_A20 -to HEX2[1] | ||
set_location_assignment PIN_B19 -to HEX2[2] | ||
set_location_assignment PIN_A21 -to HEX2[3] | ||
set_location_assignment PIN_B21 -to HEX2[4] | ||
set_location_assignment PIN_C22 -to HEX2[5] | ||
set_location_assignment PIN_B22 -to HEX2[6] | ||
set_location_assignment PIN_A19 -to HEX2[7] | ||
set_location_assignment PIN_F21 -to HEX3[0] | ||
set_location_assignment PIN_E22 -to HEX3[1] | ||
set_location_assignment PIN_E21 -to HEX3[2] | ||
set_location_assignment PIN_C19 -to HEX3[3] | ||
set_location_assignment PIN_C20 -to HEX3[4] | ||
set_location_assignment PIN_D19 -to HEX3[5] | ||
set_location_assignment PIN_E17 -to HEX3[6] | ||
set_location_assignment PIN_D22 -to HEX3[7] | ||
set_location_assignment PIN_F18 -to HEX4[0] | ||
set_location_assignment PIN_E20 -to HEX4[1] | ||
set_location_assignment PIN_E19 -to HEX4[2] | ||
set_location_assignment PIN_J18 -to HEX4[3] | ||
set_location_assignment PIN_H19 -to HEX4[4] | ||
set_location_assignment PIN_F19 -to HEX4[5] | ||
set_location_assignment PIN_F20 -to HEX4[6] | ||
set_location_assignment PIN_F17 -to HEX4[7] | ||
set_location_assignment PIN_J20 -to HEX5[0] | ||
set_location_assignment PIN_K20 -to HEX5[1] | ||
set_location_assignment PIN_L18 -to HEX5[2] | ||
set_location_assignment PIN_N18 -to HEX5[3] | ||
set_location_assignment PIN_M20 -to HEX5[4] | ||
set_location_assignment PIN_N19 -to HEX5[5] | ||
set_location_assignment PIN_N20 -to HEX5[6] | ||
set_location_assignment PIN_L19 -to HEX5[7] | ||
set_location_assignment PIN_U17 -to DRAM_ADDR[0] | ||
set_location_assignment PIN_W19 -to DRAM_ADDR[1] | ||
set_location_assignment PIN_V18 -to DRAM_ADDR[2] | ||
set_location_assignment PIN_U18 -to DRAM_ADDR[3] | ||
set_location_assignment PIN_U19 -to DRAM_ADDR[4] | ||
set_location_assignment PIN_T18 -to DRAM_ADDR[5] | ||
set_location_assignment PIN_T19 -to DRAM_ADDR[6] | ||
set_location_assignment PIN_R18 -to DRAM_ADDR[7] | ||
set_location_assignment PIN_P18 -to DRAM_ADDR[8] | ||
set_location_assignment PIN_P19 -to DRAM_ADDR[9] | ||
set_location_assignment PIN_T20 -to DRAM_ADDR[10] | ||
set_location_assignment PIN_P20 -to DRAM_ADDR[11] | ||
set_location_assignment PIN_R20 -to DRAM_ADDR[12] | ||
set_location_assignment PIN_Y21 -to DRAM_DQ[0] | ||
set_location_assignment PIN_Y20 -to DRAM_DQ[1] | ||
set_location_assignment PIN_AA22 -to DRAM_DQ[2] | ||
set_location_assignment PIN_AA21 -to DRAM_DQ[3] | ||
set_location_assignment PIN_Y22 -to DRAM_DQ[4] | ||
set_location_assignment PIN_W22 -to DRAM_DQ[5] | ||
set_location_assignment PIN_W20 -to DRAM_DQ[6] | ||
set_location_assignment PIN_V21 -to DRAM_DQ[7] | ||
set_location_assignment PIN_P21 -to DRAM_DQ[8] | ||
set_location_assignment PIN_J22 -to DRAM_DQ[9] | ||
set_location_assignment PIN_H21 -to DRAM_DQ[10] | ||
set_location_assignment PIN_H22 -to DRAM_DQ[11] | ||
set_location_assignment PIN_G22 -to DRAM_DQ[12] | ||
set_location_assignment PIN_G20 -to DRAM_DQ[13] | ||
set_location_assignment PIN_G19 -to DRAM_DQ[14] | ||
set_location_assignment PIN_F22 -to DRAM_DQ[15] | ||
set_location_assignment PIN_T21 -to DRAM_BA[0] | ||
set_location_assignment PIN_T22 -to DRAM_BA[1] | ||
set_location_assignment PIN_V22 -to DRAM_LDQM | ||
set_location_assignment PIN_J21 -to DRAM_UDQM | ||
set_location_assignment PIN_U22 -to DRAM_RAS_N | ||
set_location_assignment PIN_U21 -to DRAM_CAS_N | ||
set_location_assignment PIN_N22 -to DRAM_CKE | ||
set_location_assignment PIN_L14 -to DRAM_CLK | ||
set_location_assignment PIN_V20 -to DRAM_WE_N | ||
set_location_assignment PIN_U20 -to DRAM_CS_N | ||
set_location_assignment PIN_N5 -to ADC_CLK_10 | ||
set_location_assignment PIN_P11 -to MAX10_CLK1_50 | ||
set_location_assignment PIN_N14 -to MAX10_CLK2_50 | ||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top |
Oops, something went wrong.