Skip to content

Commit

Permalink
Clean up Bundle_t
Browse files Browse the repository at this point in the history
  • Loading branch information
Aba committed Jul 23, 2024
1 parent 6523d43 commit dbc19c0
Show file tree
Hide file tree
Showing 3 changed files with 21 additions and 26 deletions.
22 changes: 9 additions & 13 deletions deepsocflow/c/runtime.h
Original file line number Diff line number Diff line change
Expand Up @@ -17,15 +17,14 @@ typedef float f32;
typedef double f64;

typedef const struct {
const i32 n, l, kw, coe, coe_tl, r_ll, h, w, ci, co, w_kw2, t, p, cm, cm_p0, xp_words, ib_out;
const i32 w_bpt, w_bpt_p0, x_bpt, x_bpt_p0, o_words, o_bytes, x_pad; // bytes per transfer
const i8 in_buffer_idx, out_buffer_idx, add_out_buffer_idx, add_in_buffer_idx;
const u16 n, l, kw, coe, h, w, ci, co, w_kw2, t, p, cm, cm_p0, on, oh, ow, oc, ch, ph, cw, pw;
const i32 xp_words, b_offset, w_bpt, w_bpt_p0, x_bpt, x_bpt_p0, o_words, o_bytes;
const i8 ib_out, in_buffer_idx, out_buffer_idx, add_out_buffer_idx, add_in_buffer_idx;
const i8 is_bias, is_pool, is_flatten, is_softmax;
const i32 b_offset, b_val_shift, b_bias_shift;
const i8 ca_nzero, ca_shift, ca_pl_scale, aa_nzero, aa_shift, aa_pl_scale, pa_nzero, pa_shift, pa_pl_scale, softmax_frac;
const i8 x_pad, b_val_shift, b_bias_shift, ca_nzero, ca_shift, ca_pl_scale, aa_nzero, aa_shift, aa_pl_scale, pa_nzero, pa_shift, pa_pl_scale, softmax_frac;
const i8 csh, csh_shift, pkh, psh, psh_shift, csw, csw_shift, pkw, psw, psw_shift, pool;
const f32 softmax_max_f;
const i32 csh, ch, csh_shift, pkh, psh, ph, psh_shift, csw, cw, csw_shift, pkw, psw, pw, psw_shift, pool, on, oh, ow, oc;
const u64 header, w_header, w_header_p0; // 64 bits (at least)
const u64 header;
const i32 debug_nhwc_words;
} Bundle_t;

Expand Down Expand Up @@ -252,14 +251,12 @@ extern EXT_C u8 model_run() {
static i32 ib=0, ip=0, it=0, in=0, il=0, iw_kw2=0;
static i8 *restrict p_out_buffer = (i8*)&mem.out_buffers[0];

i32 iy_nhwc;
i32 iy_nhwc, w_last, o_bpt;
div_t div_ch, div_cw, div_ixh, div_ixw;
i32 ph_end, ph_beg_const, ixh_beg, xh_sweep;
i32 pw_end, pw_beg_const, ixw_beg, xw_sweep;

static i8 ocm_bank = 1; // We flip the bank at the beginning of loop. starting from bank 0
i32 w_last, sram_addr;


/**
* ---------- WAIT FOR S2MM DMA DONE ----------
Expand Down Expand Up @@ -298,6 +295,7 @@ extern EXT_C u8 model_run() {

ocm_bank = !ocm_bank;
w_last = iw_kw2 == pb->w_kw2-1 ? pb->kw/2+1 : 1;
o_bpt = PE_ROWS * pb->coe * w_last * sizeof(Y_TYPE);

#ifdef SIM
DMA_WAIT:
Expand All @@ -319,9 +317,7 @@ extern EXT_C u8 model_run() {
#endif
set_config(A_DONE_WRITE + ocm_bank, 0);

w_last = iw_kw2 == pb->w_kw2-1 ? pb->kw/2+1 : 1;
sram_addr=0;

i32 sram_addr=0;
for (i32 icoe=0; icoe < pb->coe; icoe++) {
i32 i_bias = it_bias + icoe;

Expand Down
11 changes: 5 additions & 6 deletions deepsocflow/py/xmodel.py
Original file line number Diff line number Diff line change
Expand Up @@ -215,14 +215,13 @@ def export_inference(model, hw):

out_type = 'float' if (ib == len(BUNDLES)-1 and b.softmax) else 'int32_t'

ch.write(f" {{.n={b.r.XN:<3}, .l={b.r.XL:<3}, .kw={b.r.KW:<3}, .coe={y_coe:<3}, .coe_tl={y_coe_tl:<3}, .r_ll={y_r_ll:<3}, .h={b.r.XH:<3}, .w={b.r.XW:<3}, .ci={b.r.CI:<4}, .co={b.r.CO:<4}, .w_kw2={b.r.XW-b.r.KW//2:<3}, .t={b.r.IT:<3}, .p={b.r.CP:<3}, .cm={b.r.CM:<3}, .cm_p0={b.r.CM_0:<3}, .xp_words={xp_words:<6}, .ib_out={ib_out:<4}, ")
ch.write( f".w_bpt={w_bpt:<5}, .w_bpt_p0={w_bpt_p0:<5}, .x_bpt={x_bpt:<8}, .x_bpt_p0={x_bpt_p0:<8}, .o_words={o_words_b:<8}, .o_bytes={o_bytes_b:<8}, .x_pad={b.r.X_PAD:<3}, ")
ch.write( f".in_buffer_idx={in_buffer_idx:<3}, .out_buffer_idx={b.out_buffer_idx:<3}, .add_out_buffer_idx={add_out_buffer_idx:<2}, .add_in_buffer_idx={add_in_buffer_idx:<2}, ")
ch.write(f" {{.n={b.r.XN:<3}, .l={b.r.XL:<3}, .kw={b.r.KW:<3}, .coe={y_coe:<3}, .h={b.r.XH:<3}, .w={b.r.XW:<3}, .ci={b.r.CI:<4}, .co={b.r.CO:<4}, .w_kw2={b.r.XW-b.r.KW//2:<3}, .t={b.r.IT:<3}, .p={b.r.CP:<3}, .cm={b.r.CM:<3}, .cm_p0={b.r.CM_0:<3}, .on={b.r.ON:<3}, .oh={b.r.OH:<3}, .ow={b.r.OW:<3}, .oc={b.r.OC:<4}, .ch={b.r.CYH:<3}, .ph={b.r.PYH:<3}, .cw={b.r.CYW:<3}, .pw={b.r.PYW:<3}, ")
ch.write( f".xp_words={xp_words:<6}, .b_offset={b_words:<5}, .w_bpt={w_bpt:<5}, .w_bpt_p0={w_bpt_p0:<5}, .x_bpt={x_bpt:<8}, .x_bpt_p0={x_bpt_p0:<8}, .o_words={o_words_b:<8}, .o_bytes={o_bytes_b:<8}, ")
ch.write( f".ib_out={ib_out:<4}, .in_buffer_idx={in_buffer_idx:<3}, .out_buffer_idx={b.out_buffer_idx:<3}, .add_out_buffer_idx={add_out_buffer_idx:<2}, .add_in_buffer_idx={add_in_buffer_idx:<2}, ")
ch.write( f".is_bias={1*(b.core.b is not None):<3}, .is_flatten={1*(b.flatten is not None):<3}, .is_softmax={1*(b.softmax is not None):<3}, ")
ch.write( f".b_offset={b_words:<5}, .b_val_shift={b.core.bias_val_shift:<3}, .b_bias_shift={b.core.bias_b_shift:<3}, ")
ch.write( f".ca_nzero={ca_nzero:<3}, .ca_shift={ca_shift:<3}, .ca_pl_scale={ca_pl_scale:<3}, .aa_nzero={aa_nzero:<3}, .aa_shift={aa_shift:<3}, .aa_pl_scale={aa_pl_scale:<3}, .pa_nzero={pa_nzero:<3}, .pa_shift={pa_shift:<3}, .pa_pl_scale={pa_pl_scale:<3}, .softmax_frac={b.softmax_frac:<3}, ")
ch.write( f".x_pad={b.r.X_PAD:<3}, .b_val_shift={b.core.bias_val_shift:<3}, .b_bias_shift={b.core.bias_b_shift:<3}, .ca_nzero={ca_nzero:<3}, .ca_shift={ca_shift:<3}, .ca_pl_scale={ca_pl_scale:<3}, .aa_nzero={aa_nzero:<3}, .aa_shift={aa_shift:<3}, .aa_pl_scale={aa_pl_scale:<3}, .pa_nzero={pa_nzero:<3}, .pa_shift={pa_shift:<3}, .pa_pl_scale={pa_pl_scale:<3}, .softmax_frac={b.softmax_frac:<3}, ")
ch.write( f".csh={b.r.CSH:<3}, .csh_shift={b.r.CSH_SHIFT:<3}, .pkh={b.r.PKH:<3}, .psh={b.r.PSH:<3}, .psh_shift={b.r.PSH_SHIFT:<3}, .csw={b.r.CSW:<3}, .csw_shift={b.r.CSW_SHIFT:<3}, .pkw={b.r.PKW:<3}, .psw={b.r.PSW:<3}, .psw_shift={b.r.PSW_SHIFT:<3}, .pool={pool_type:<10}, ")
ch.write( f".softmax_max_f={b.softmax_max_f:<15}, ")
ch.write( f".csh={b.r.CSH:<3}, .ch={b.r.CYH:<3}, .csh_shift={b.r.CSH_SHIFT:<3}, .pkh={b.r.PKH:<3}, .psh={b.r.PSH:<3}, .ph={b.r.PYH:<3}, .psh_shift={b.r.PSH_SHIFT:<3}, .csw={b.r.CSW:<3}, .cw={b.r.CYW:<3}, .csw_shift={b.r.CSW_SHIFT:<3}, .pkw={b.r.PKW:<3}, .psw={b.r.PSW:<3}, .pw={b.r.PYW:<3}, .psw_shift={b.r.PSW_SHIFT:<3}, .pool={pool_type:<10}, .on={b.r.ON:<3}, .oh={b.r.OH:<3}, .ow={b.r.OW:<3}, .oc={b.r.OC:<4}, ")
ch.write( f".header={b.r.header:>23}u, ")
ch.write( f".debug_nhwc_words={b.oe_exp_nhwc.size:<9} }}")

Expand Down
14 changes: 7 additions & 7 deletions run/work/config_fw.h
Original file line number Diff line number Diff line change
@@ -1,12 +1,12 @@
#define N_BUNDLES 7
Bundle_t bundles [N_BUNDLES] = {
{.n=1 , .l=4 , .kw=7 , .coe=3 , .coe_tl=2 , .r_ll=4 , .h=28 , .w=28 , .ci=1 , .co=8 , .w_kw2=25 , .t=3 , .p=1 , .cm=2 , .cm_p0=1 , .xp_words=1344 , .ib_out=1 , .w_bpt=84 , .w_bpt_p0=84 , .x_bpt=672 , .x_bpt_p0=672 , .o_words=640 , .o_bytes=320 , .x_pad=4 , .in_buffer_idx=-1 , .out_buffer_idx=0 , .add_out_buffer_idx=0 , .add_in_buffer_idx=-1, .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .b_offset=0 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=0 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=1 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .softmax_max_f=0 , .csh=2 , .ch=14 , .csh_shift=1 , .pkh=3 , .psh=2 , .ph=7 , .psh_shift=0 , .csw=1 , .cw=28 , .csw_shift=0 , .pkw=4 , .psw=3 , .pw=10 , .psw_shift=1 , .pool=POOL_AVG , .on=1 , .oh=7 , .ow=10 , .oc=8 , .header= 29695610579857627u, .debug_nhwc_words=560 },
{.n=1 , .l=1 , .kw=1 , .coe=24 , .coe_tl=0 , .r_ll=7 , .h=7 , .w=10 , .ci=8 , .co=8 , .w_kw2=10 , .t=1 , .p=1 , .cm=20 , .cm_p0=8 , .xp_words=80 , .ib_out=2 , .w_bpt=96 , .w_bpt_p0=96 , .x_bpt=320 , .x_bpt_p0=320 , .o_words=960 , .o_bytes=480 , .x_pad=0 , .in_buffer_idx=0 , .out_buffer_idx=1 , .add_out_buffer_idx=1 , .add_in_buffer_idx=0 , .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .b_offset=9 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=1 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=1 , .aa_shift=3 , .aa_pl_scale=3 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .softmax_max_f=0 , .csh=1 , .ch=7 , .csh_shift=0 , .pkh=1 , .psh=1 , .ph=7 , .psh_shift=0 , .csw=1 , .cw=10 , .csw_shift=0 , .pkw=1 , .psw=1 , .pw=10 , .psw_shift=0 , .pool=POOL_NONE , .on=1 , .oh=7 , .ow=10 , .oc=8 , .header= 43276787871645768u, .debug_nhwc_words=560 },
{.n=1 , .l=1 , .kw=7 , .coe=3 , .coe_tl=2 , .r_ll=7 , .h=7 , .w=10 , .ci=8 , .co=8 , .w_kw2=7 , .t=3 , .p=4 , .cm=2 , .cm_p0=2 , .xp_words=120 , .ib_out=3 , .w_bpt=168 , .w_bpt_p0=168 , .x_bpt=120 , .x_bpt_p0=120 , .o_words=960 , .o_bytes=480 , .x_pad=4 , .in_buffer_idx=1 , .out_buffer_idx=0 , .add_out_buffer_idx=-1, .add_in_buffer_idx=1 , .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .b_offset=33 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=1 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .softmax_max_f=0 , .csh=1 , .ch=7 , .csh_shift=0 , .pkh=1 , .psh=1 , .ph=7 , .psh_shift=0 , .csw=1 , .cw=10 , .csw_shift=0 , .pkw=1 , .psw=1 , .pw=10 , .psw_shift=0 , .pool=POOL_NONE , .on=1 , .oh=7 , .ow=10 , .oc=8 , .header= 30188191789350987u, .debug_nhwc_words=560 },
{.n=1 , .l=1 , .kw=5 , .coe=4 , .coe_tl=4 , .r_ll=7 , .h=7 , .w=10 , .ci=8 , .co=8 , .w_kw2=8 , .t=2 , .p=2 , .cm=4 , .cm_p0=4 , .xp_words=120 , .ib_out=4 , .w_bpt=240 , .w_bpt_p0=240 , .x_bpt=240 , .x_bpt_p0=240 , .o_words=960 , .o_bytes=480 , .x_pad=4 , .in_buffer_idx=0 , .out_buffer_idx=1 , .add_out_buffer_idx=-1, .add_in_buffer_idx=0 , .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .b_offset=42 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=1 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .softmax_max_f=0 , .csh=1 , .ch=7 , .csh_shift=0 , .pkh=1 , .psh=1 , .ph=7 , .psh_shift=0 , .csw=1 , .cw=10 , .csw_shift=0 , .pkw=1 , .psw=1 , .pw=10 , .psw_shift=0 , .pool=POOL_NONE , .on=1 , .oh=7 , .ow=10 , .oc=8 , .header= 44121204210794570u, .debug_nhwc_words=560 },
{.n=1 , .l=1 , .kw=3 , .coe=8 , .coe_tl=8 , .r_ll=7 , .h=7 , .w=10 , .ci=8 , .co=24 , .w_kw2=9 , .t=3 , .p=2 , .cm=6 , .cm_p0=2 , .xp_words=120 , .ib_out=5 , .w_bpt=216 , .w_bpt_p0=72 , .x_bpt=360 , .x_bpt_p0=120 , .o_words=1920 , .o_bytes=960 , .x_pad=4 , .in_buffer_idx=1 , .out_buffer_idx=0 , .add_out_buffer_idx=-1, .add_in_buffer_idx=-1, .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .b_offset=50 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=0 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .softmax_max_f=0 , .csh=1 , .ch=7 , .csh_shift=0 , .pkh=1 , .psh=1 , .ph=7 , .psh_shift=0 , .csw=1 , .cw=10 , .csw_shift=0 , .pkw=1 , .psw=1 , .pw=10 , .psw_shift=0 , .pool=POOL_NONE , .on=1 , .oh=7 , .ow=10 , .oc=24 , .header= 38632443238154313u, .debug_nhwc_words=1680 },
{.n=1 , .l=1 , .kw=1 , .coe=24 , .coe_tl=0 , .r_ll=7 , .h=7 , .w=10 , .ci=24 , .co=10 , .w_kw2=10 , .t=1 , .p=2 , .cm=20 , .cm_p0=4 , .xp_words=80 , .ib_out=6 , .w_bpt=240 , .w_bpt_p0=48 , .x_bpt=800 , .x_bpt_p0=160 , .o_words=5600 , .o_bytes=2800 , .x_pad=0 , .in_buffer_idx=0 , .out_buffer_idx=1 , .add_out_buffer_idx=-1, .add_in_buffer_idx=-1, .is_bias=1 , .is_flatten=1 , .is_softmax=0 , .b_offset=74 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=0 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .softmax_max_f=0 , .csh=1 , .ch=7 , .csh_shift=0 , .pkh=1 , .psh=1 , .ph=7 , .psh_shift=0 , .csw=1 , .cw=10 , .csw_shift=0 , .pkw=1 , .psw=1 , .pw=10 , .psw_shift=0 , .pool=POOL_NONE , .on=1 , .oh=1 , .ow=1 , .oc=700 , .header= 42995312893886536u, .debug_nhwc_words=700 },
{.n=1 , .l=1 , .kw=1 , .coe=24 , .coe_tl=0 , .r_ll=1 , .h=1 , .w=1 , .ci=700 , .co=10 , .w_kw2=1 , .t=1 , .p=35 , .cm=20 , .cm_p0=20 , .xp_words=8 , .ib_out=-1 , .w_bpt=240 , .w_bpt_p0=240 , .x_bpt=80 , .x_bpt_p0=80 , .o_words=10 , .o_bytes=40 , .x_pad=0 , .in_buffer_idx=1 , .out_buffer_idx=-1 , .add_out_buffer_idx=-1, .add_in_buffer_idx=-1, .is_bias=0 , .is_flatten=0 , .is_softmax=1 , .b_offset=98 , .b_val_shift=0 , .b_bias_shift=0 , .ca_nzero=1 , .ca_shift=3 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=3 , .softmax_max_f=0.875 , .csh=1 , .ch=1 , .csh_shift=0 , .pkh=1 , .psh=1 , .ph=1 , .psh_shift=0 , .csw=1 , .cw=1 , .csw_shift=0 , .pkw=1 , .psw=1 , .pw=1 , .psw_shift=0 , .pool=POOL_NONE , .on=1 , .oh=1 , .ow=1 , .oc=10 , .header= 44121212804923392u, .debug_nhwc_words=10 }
{.n=1 , .l=4 , .kw=7 , .coe=3 , .h=28 , .w=28 , .ci=1 , .co=8 , .w_kw2=25 , .t=3 , .p=1 , .cm=2 , .cm_p0=1 , .on=1 , .oh=7 , .ow=10 , .oc=8 , .ch=14 , .ph=7 , .cw=28 , .pw=10 , .xp_words=1344 , .b_offset=0 , .w_bpt=84 , .w_bpt_p0=84 , .x_bpt=672 , .x_bpt_p0=672 , .o_words=640 , .o_bytes=320 , .ib_out=1 , .in_buffer_idx=-1 , .out_buffer_idx=0 , .add_out_buffer_idx=0 , .add_in_buffer_idx=-1, .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .x_pad=4 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=0 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=1 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .csh=2 , .csh_shift=1 , .pkh=3 , .psh=2 , .psh_shift=0 , .csw=1 , .csw_shift=0 , .pkw=4 , .psw=3 , .psw_shift=1 , .pool=POOL_AVG , .softmax_max_f=0 , .header= 29695610579857627u, .debug_nhwc_words=560 },
{.n=1 , .l=1 , .kw=1 , .coe=24 , .h=7 , .w=10 , .ci=8 , .co=8 , .w_kw2=10 , .t=1 , .p=1 , .cm=20 , .cm_p0=8 , .on=1 , .oh=7 , .ow=10 , .oc=8 , .ch=7 , .ph=7 , .cw=10 , .pw=10 , .xp_words=80 , .b_offset=9 , .w_bpt=96 , .w_bpt_p0=96 , .x_bpt=320 , .x_bpt_p0=320 , .o_words=960 , .o_bytes=480 , .ib_out=2 , .in_buffer_idx=0 , .out_buffer_idx=1 , .add_out_buffer_idx=1 , .add_in_buffer_idx=0 , .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .x_pad=0 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=1 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=1 , .aa_shift=3 , .aa_pl_scale=3 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .csh=1 , .csh_shift=0 , .pkh=1 , .psh=1 , .psh_shift=0 , .csw=1 , .csw_shift=0 , .pkw=1 , .psw=1 , .psw_shift=0 , .pool=POOL_NONE , .softmax_max_f=0 , .header= 43276787871645768u, .debug_nhwc_words=560 },
{.n=1 , .l=1 , .kw=7 , .coe=3 , .h=7 , .w=10 , .ci=8 , .co=8 , .w_kw2=7 , .t=3 , .p=4 , .cm=2 , .cm_p0=2 , .on=1 , .oh=7 , .ow=10 , .oc=8 , .ch=7 , .ph=7 , .cw=10 , .pw=10 , .xp_words=120 , .b_offset=33 , .w_bpt=168 , .w_bpt_p0=168 , .x_bpt=120 , .x_bpt_p0=120 , .o_words=960 , .o_bytes=480 , .ib_out=3 , .in_buffer_idx=1 , .out_buffer_idx=0 , .add_out_buffer_idx=-1, .add_in_buffer_idx=1 , .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .x_pad=4 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=1 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .csh=1 , .csh_shift=0 , .pkh=1 , .psh=1 , .psh_shift=0 , .csw=1 , .csw_shift=0 , .pkw=1 , .psw=1 , .psw_shift=0 , .pool=POOL_NONE , .softmax_max_f=0 , .header= 30188191789350987u, .debug_nhwc_words=560 },
{.n=1 , .l=1 , .kw=5 , .coe=4 , .h=7 , .w=10 , .ci=8 , .co=8 , .w_kw2=8 , .t=2 , .p=2 , .cm=4 , .cm_p0=4 , .on=1 , .oh=7 , .ow=10 , .oc=8 , .ch=7 , .ph=7 , .cw=10 , .pw=10 , .xp_words=120 , .b_offset=42 , .w_bpt=240 , .w_bpt_p0=240 , .x_bpt=240 , .x_bpt_p0=240 , .o_words=960 , .o_bytes=480 , .ib_out=4 , .in_buffer_idx=0 , .out_buffer_idx=1 , .add_out_buffer_idx=-1, .add_in_buffer_idx=0 , .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .x_pad=4 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=1 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .csh=1 , .csh_shift=0 , .pkh=1 , .psh=1 , .psh_shift=0 , .csw=1 , .csw_shift=0 , .pkw=1 , .psw=1 , .psw_shift=0 , .pool=POOL_NONE , .softmax_max_f=0 , .header= 44121204210794570u, .debug_nhwc_words=560 },
{.n=1 , .l=1 , .kw=3 , .coe=8 , .h=7 , .w=10 , .ci=8 , .co=24 , .w_kw2=9 , .t=3 , .p=2 , .cm=6 , .cm_p0=2 , .on=1 , .oh=7 , .ow=10 , .oc=24 , .ch=7 , .ph=7 , .cw=10 , .pw=10 , .xp_words=120 , .b_offset=50 , .w_bpt=216 , .w_bpt_p0=72 , .x_bpt=360 , .x_bpt_p0=120 , .o_words=1920 , .o_bytes=960 , .ib_out=5 , .in_buffer_idx=1 , .out_buffer_idx=0 , .add_out_buffer_idx=-1, .add_in_buffer_idx=-1, .is_bias=1 , .is_flatten=0 , .is_softmax=0 , .x_pad=4 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=0 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .csh=1 , .csh_shift=0 , .pkh=1 , .psh=1 , .psh_shift=0 , .csw=1 , .csw_shift=0 , .pkw=1 , .psw=1 , .psw_shift=0 , .pool=POOL_NONE , .softmax_max_f=0 , .header= 38632443238154313u, .debug_nhwc_words=1680 },
{.n=1 , .l=1 , .kw=1 , .coe=24 , .h=7 , .w=10 , .ci=24 , .co=10 , .w_kw2=10 , .t=1 , .p=2 , .cm=20 , .cm_p0=4 , .on=1 , .oh=1 , .ow=1 , .oc=700 , .ch=7 , .ph=7 , .cw=10 , .pw=10 , .xp_words=80 , .b_offset=74 , .w_bpt=240 , .w_bpt_p0=48 , .x_bpt=800 , .x_bpt_p0=160 , .o_words=5600 , .o_bytes=2800 , .ib_out=6 , .in_buffer_idx=0 , .out_buffer_idx=1 , .add_out_buffer_idx=-1, .add_in_buffer_idx=-1, .is_bias=1 , .is_flatten=1 , .is_softmax=0 , .x_pad=0 , .b_val_shift=9 , .b_bias_shift=0 , .ca_nzero=0 , .ca_shift=12 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=0 , .csh=1 , .csh_shift=0 , .pkh=1 , .psh=1 , .psh_shift=0 , .csw=1 , .csw_shift=0 , .pkw=1 , .psw=1 , .psw_shift=0 , .pool=POOL_NONE , .softmax_max_f=0 , .header= 42995312893886536u, .debug_nhwc_words=700 },
{.n=1 , .l=1 , .kw=1 , .coe=24 , .h=1 , .w=1 , .ci=700 , .co=10 , .w_kw2=1 , .t=1 , .p=35 , .cm=20 , .cm_p0=20 , .on=1 , .oh=1 , .ow=1 , .oc=10 , .ch=1 , .ph=1 , .cw=1 , .pw=1 , .xp_words=8 , .b_offset=98 , .w_bpt=240 , .w_bpt_p0=240 , .x_bpt=80 , .x_bpt_p0=80 , .o_words=10 , .o_bytes=40 , .ib_out=-1 , .in_buffer_idx=1 , .out_buffer_idx=-1 , .add_out_buffer_idx=-1, .add_in_buffer_idx=-1, .is_bias=0 , .is_flatten=0 , .is_softmax=1 , .x_pad=0 , .b_val_shift=0 , .b_bias_shift=0 , .ca_nzero=1 , .ca_shift=3 , .ca_pl_scale=0 , .aa_nzero=0 , .aa_shift=0 , .aa_pl_scale=0 , .pa_nzero=0 , .pa_shift=0 , .pa_pl_scale=0 , .softmax_frac=3 , .csh=1 , .csh_shift=0 , .pkh=1 , .psh=1 , .psh_shift=0 , .csw=1 , .csw_shift=0 , .pkw=1 , .psw=1 , .psw_shift=0 , .pool=POOL_NONE , .softmax_max_f=0.875 , .header= 44121212804923392u, .debug_nhwc_words=10 }
};

#define X_BITS_L2 2
Expand Down

0 comments on commit dbc19c0

Please sign in to comment.