Skip to content

Commit

Permalink
Reorganize tcl files
Browse files Browse the repository at this point in the history
  • Loading branch information
Aba committed Nov 19, 2023
1 parent 0511986 commit f8f4f90
Show file tree
Hide file tree
Showing 18 changed files with 7 additions and 16 deletions.
6 changes: 0 additions & 6 deletions deepsocflow/asic/constraints/dnn_engine.sdc

This file was deleted.

6 changes: 0 additions & 6 deletions deepsocflow/asic/constraints/proc_engine_out.sdc

This file was deleted.

2 changes: 1 addition & 1 deletion deepsocflow/py/hardware.py
Original file line number Diff line number Diff line change
Expand Up @@ -220,7 +220,7 @@ def export_vivado_tcl(self, board='zcu104', rtl_dir_abspath=None, scripts_dir_ab
if rtl_dir_abspath is None:
rtl_dir_abspath = self.MODULE_DIR + '/rtl'
if scripts_dir_abspath is None:
scripts_dir_abspath = self.MODULE_DIR + '/fpga/scripts'
scripts_dir_abspath = self.MODULE_DIR + '/tcl/fpga'
if board_tcl_abspath is None:
board_tcl_abspath = f'{scripts_dir_abspath}/{board}.tcl'

Expand Down
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
set TOP proc_engine_out
set FREQ_MHZ 1000
set clock_cycle [expr 1000/$FREQ_MHZ]
set FREQ 1000
set clock_cycle [expr 1000/$FREQ]
set io_delay [expr $clock_cycle/5]

#--------- CONFIG
Expand Down Expand Up @@ -28,7 +28,10 @@ check_design > ${REPORT_DIR}/check_design.rpt
uniquify $TOP

#--------- CONSTRAINTS
read_sdc ../constraints/$TOP.sdc
create_clock -name aclk -period $clock_cycle [get_ports aclk]
set_false_path -from [get_ports "aresetn"]
set_input_delay -clock [get_clocks aclk] -add_delay -max $io_delay [all_inputs]
set_output_delay -clock [get_clocks aclk] -add_delay -max $io_delay [all_outputs]

#--------- RETIME OPTIONS
set_db retime_async_reset true
Expand Down
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.

0 comments on commit f8f4f90

Please sign in to comment.