Skip to content

Commit

Permalink
Merge branch 'master' of github.com:pepijndevos/apicula
Browse files Browse the repository at this point in the history
  • Loading branch information
pepijndevos committed Jan 30, 2021
2 parents 7624fdc + 8f518f1 commit 53fd16a
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 4 deletions.
4 changes: 2 additions & 2 deletions examples/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -25,10 +25,10 @@ attosoc.json: attosoc/attosoc.v attosoc/picorv32.v
yosys -p "synth_gowin -json $@" $^

%-tec0117-prog: %-tec0117.fs
openFPGALoader -b littleBee $^
openFPGALoader -b tec0117 $^

%-runber-prog: %-runber.fs
openFPGALoader -b littleBee -c ft232 $^
openFPGALoader -b runber $^

%-tangnano-prog: %-tangnano.fs
openFPGALoader -b tangnano $^
Expand Down
4 changes: 2 additions & 2 deletions readme.md
Original file line number Diff line number Diff line change
Expand Up @@ -40,8 +40,8 @@ nextpnr-gowin --json blinky.json \
gowin_pack -d GW1N-9 -o pack.fs pnrblinky.json
# gowin_unpack -d GW1N-9 -o unpack.v pack.fs
# yosys -p "read_verilog -lib +/gowin/cells_sim.v; clean -purge; show" unpack.v
openFPGALoader -b littleBee pack.fs # TEC0117
openFPGALoader -b littleBee -c ft232 pack.fs # RUNBER
openFPGALoader -b tec0117 pack.fs # TEC0117
openFPGALoader -b runber pack.fs # RUNBER
openFPGALoader -b tangnano pack.fs # Tang Nano
```

Expand Down

0 comments on commit 53fd16a

Please sign in to comment.