Skip to content

Commit

Permalink
package 23.10.23
Browse files Browse the repository at this point in the history
  • Loading branch information
akoreman committed Oct 23, 2023
1 parent fdda569 commit 2eb6b07
Show file tree
Hide file tree
Showing 66 changed files with 2,481 additions and 207 deletions.
12 changes: 12 additions & 0 deletions CHANGELOG.md
Original file line number Diff line number Diff line change
Expand Up @@ -2,6 +2,18 @@

All notable changes to this project will be documented in this file. See [standard-version](https://github.com/conventional-changelog/standard-version) for commit guidelines.

## [1.31.0](https://github.com/ajaxorg/ace/compare/v1.30.0...v1.31.0) (2023-10-23)


### Features

* add nasal language ([#5342](https://github.com/ajaxorg/ace/issues/5342)) ([4fff99e](https://github.com/ajaxorg/ace/commit/4fff99e320539a1472ce0512cd1fd3e5fc7f2ca6))


### Bug Fixes

* doc tooltip not filtering properly ([e5034c7](https://github.com/ajaxorg/ace/commit/e5034c7b58fb47fe57b28dbb001e72a567ef9abe))

## [1.30.0](https://github.com/ajaxorg/ace/compare/v1.29.0...v1.30.0) (2023-10-13)


Expand Down
4 changes: 4 additions & 0 deletions ace-modules.d.ts
Original file line number Diff line number Diff line change
Expand Up @@ -75,6 +75,7 @@ declare module 'ace-builds/src-noconflict/mode-ejs';
declare module 'ace-builds/src-noconflict/mode-elixir';
declare module 'ace-builds/src-noconflict/mode-elm';
declare module 'ace-builds/src-noconflict/mode-erlang';
declare module 'ace-builds/src-noconflict/mode-flix';
declare module 'ace-builds/src-noconflict/mode-forth';
declare module 'ace-builds/src-noconflict/mode-fortran';
declare module 'ace-builds/src-noconflict/mode-fsharp';
Expand Down Expand Up @@ -136,6 +137,7 @@ declare module 'ace-builds/src-noconflict/mode-mips';
declare module 'ace-builds/src-noconflict/mode-mixal';
declare module 'ace-builds/src-noconflict/mode-mushcode';
declare module 'ace-builds/src-noconflict/mode-mysql';
declare module 'ace-builds/src-noconflict/mode-nasal';
declare module 'ace-builds/src-noconflict/mode-nginx';
declare module 'ace-builds/src-noconflict/mode-nim';
declare module 'ace-builds/src-noconflict/mode-nix';
Expand Down Expand Up @@ -306,6 +308,7 @@ declare module 'ace-builds/src-noconflict/snippets/ejs';
declare module 'ace-builds/src-noconflict/snippets/elixir';
declare module 'ace-builds/src-noconflict/snippets/elm';
declare module 'ace-builds/src-noconflict/snippets/erlang';
declare module 'ace-builds/src-noconflict/snippets/flix';
declare module 'ace-builds/src-noconflict/snippets/forth';
declare module 'ace-builds/src-noconflict/snippets/fortran';
declare module 'ace-builds/src-noconflict/snippets/fsharp';
Expand Down Expand Up @@ -367,6 +370,7 @@ declare module 'ace-builds/src-noconflict/snippets/mips';
declare module 'ace-builds/src-noconflict/snippets/mixal';
declare module 'ace-builds/src-noconflict/snippets/mushcode';
declare module 'ace-builds/src-noconflict/snippets/mysql';
declare module 'ace-builds/src-noconflict/snippets/nasal';
declare module 'ace-builds/src-noconflict/snippets/nginx';
declare module 'ace-builds/src-noconflict/snippets/nim';
declare module 'ace-builds/src-noconflict/snippets/nix';
Expand Down
6 changes: 4 additions & 2 deletions demo/kitchen-sink/demo.js
Original file line number Diff line number Diff line change
Expand Up @@ -1611,7 +1611,7 @@ var supportedModes = {
D: ["d|di"],
Dart: ["dart"],
Diff: ["diff|patch"],
Django: ["html"],
Django: ["djt|html.djt|dj.html|djhtml"],
Dockerfile: ["^Dockerfile"],
Dot: ["dot"],
Drools: ["drl"],
Expand All @@ -1621,6 +1621,7 @@ var supportedModes = {
Elixir: ["ex|exs"],
Elm: ["elm"],
Erlang: ["erl|hrl"],
Flix: ["flix"],
Forth: ["frt|fs|ldr|fth|4th"],
Fortran: ["f|f90"],
FSharp: ["fsi|fs|ml|mli|fsx|fsscript"],
Expand Down Expand Up @@ -1683,6 +1684,7 @@ var supportedModes = {
MIXAL: ["mixal"],
MUSHCode: ["mc|mush"],
MySQL: ["mysql"],
Nasal: ["nas"],
Nginx: ["nginx|conf"],
Nim: ["nim"],
Nix: ["nix"],
Expand Down Expand Up @@ -6040,7 +6042,7 @@ var Autocomplete = /** @class */ (function () {
};
Autocomplete.prototype.updateDocTooltip = function () {
var popup = this.popup;
var all = popup.data;
var all = this.completions.filtered;
var selected = all && (all[popup.getHoveredRow()] || all[popup.getRow()]);
var doc = null;
if (!selected || !this.editor || !this.popup.isOpen)
Expand Down
7 changes: 7 additions & 0 deletions demo/kitchen-sink/docs/django.djt
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
{% extends "base.html" %}

{% block title %}Ace Django Template{% endblock %}

{% block content %}
<h1>Hello, {{ name|default:"World" }}!</h1>
{% endblock %}
35 changes: 35 additions & 0 deletions demo/kitchen-sink/docs/flix.flix
Original file line number Diff line number Diff line change
@@ -0,0 +1,35 @@
/// Mooo's `n` times on channel `c`.
def mooo(tx: Sender[String, r], n: Int32): Unit \ IO =
match n {
case 0 => ()
case x => Channel.send("Mooo!", tx); mooo(tx, x - 1)
}

/// Meow's `n` times on channel `c`.
def meow(tx: Sender[String, r], n: Int32): Unit \ IO =
match n {
case 0 => ()
case x => Channel.send("Meow!", tx); meow(tx, x - 1)
}

/// Hiss'es `n` times on channel `c`.
def hiss(tx: Sender[String, r], n: Int32): Unit \ IO =
match n {
case 0 => ()
case x => Channel.send("Hiss!", tx); hiss(tx, x - 1)
}

/// Start the animal farm...
def main(): Unit \ IO = region rc {
let (tx1, rx1) = Channel.buffered(rc, 10);
let (tx2, rx2) = Channel.buffered(rc, 10);
let (tx3, rx3) = Channel.buffered(rc, 10);
spawn mooo(tx1, 0) @ rc;
spawn meow(tx2, 3) @ rc;
spawn hiss(tx3, 7) @ rc;
select {
case m <- recv(rx1) => m |> println
case m <- recv(rx2) => m |> println
case m <- recv(rx3) => m |> println
}
}
21 changes: 21 additions & 0 deletions demo/kitchen-sink/docs/java.java
Original file line number Diff line number Diff line change
Expand Up @@ -9,10 +9,31 @@ public class InfiniteLoop {
* Taken from:
* http://www.exploringbinary.com/java-hangs-when-converting-2-2250738585072012e-308/
*/
@Override
public static void main(String[] args) {
double d = Double.parseDouble("2.2250738585072012e-308");

// unreachable code
System.out.println("Value: " + d);
}
}

String name = "Joan"; String info = STR."My name is \{name}";

STR."Today's weather is \{ getFeelsLike() }, with a temperature of \{ getTemperature()++ } degrees \{ getUnit() }"

String nestedMultilineTemplates() {
return STR."""
{
"outerKey1": "outerValue1",
"nestedTemplate": "\{
STR."""
{
"innerKey": "\{innerValue.get()}"
}
"""
}",
"outerKey2": "outerValue2"
}
""";
}
7 changes: 7 additions & 0 deletions demo/kitchen-sink/docs/nasal.nas
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
var sayHello = func(names, favorite) {
foreach (var name; names) {
printf("Hello %s, %s is the best!", name, favorite);
}
}

sayHello(["World", "FlightGear"], "Nasal");
4 changes: 4 additions & 0 deletions esm-resolver.js
Original file line number Diff line number Diff line change
Expand Up @@ -72,6 +72,7 @@ ace.config.setModuleLoader('ace/mode/ejs', () => import('./src-noconflict/mode-e
ace.config.setModuleLoader('ace/mode/elixir', () => import('./src-noconflict/mode-elixir.js'));
ace.config.setModuleLoader('ace/mode/elm', () => import('./src-noconflict/mode-elm.js'));
ace.config.setModuleLoader('ace/mode/erlang', () => import('./src-noconflict/mode-erlang.js'));
ace.config.setModuleLoader('ace/mode/flix', () => import('./src-noconflict/mode-flix.js'));
ace.config.setModuleLoader('ace/mode/forth', () => import('./src-noconflict/mode-forth.js'));
ace.config.setModuleLoader('ace/mode/fortran', () => import('./src-noconflict/mode-fortran.js'));
ace.config.setModuleLoader('ace/mode/fsharp', () => import('./src-noconflict/mode-fsharp.js'));
Expand Down Expand Up @@ -133,6 +134,7 @@ ace.config.setModuleLoader('ace/mode/mips', () => import('./src-noconflict/mode-
ace.config.setModuleLoader('ace/mode/mixal', () => import('./src-noconflict/mode-mixal.js'));
ace.config.setModuleLoader('ace/mode/mushcode', () => import('./src-noconflict/mode-mushcode.js'));
ace.config.setModuleLoader('ace/mode/mysql', () => import('./src-noconflict/mode-mysql.js'));
ace.config.setModuleLoader('ace/mode/nasal', () => import('./src-noconflict/mode-nasal.js'));
ace.config.setModuleLoader('ace/mode/nginx', () => import('./src-noconflict/mode-nginx.js'));
ace.config.setModuleLoader('ace/mode/nim', () => import('./src-noconflict/mode-nim.js'));
ace.config.setModuleLoader('ace/mode/nix', () => import('./src-noconflict/mode-nix.js'));
Expand Down Expand Up @@ -314,6 +316,7 @@ ace.config.setModuleLoader('ace/snippets/ejs', () => import('./src-noconflict/sn
ace.config.setModuleLoader('ace/snippets/elixir', () => import('./src-noconflict/snippets/elixir.js'));
ace.config.setModuleLoader('ace/snippets/elm', () => import('./src-noconflict/snippets/elm.js'));
ace.config.setModuleLoader('ace/snippets/erlang', () => import('./src-noconflict/snippets/erlang.js'));
ace.config.setModuleLoader('ace/snippets/flix', () => import('./src-noconflict/snippets/flix.js'));
ace.config.setModuleLoader('ace/snippets/forth', () => import('./src-noconflict/snippets/forth.js'));
ace.config.setModuleLoader('ace/snippets/fortran', () => import('./src-noconflict/snippets/fortran.js'));
ace.config.setModuleLoader('ace/snippets/fsharp', () => import('./src-noconflict/snippets/fsharp.js'));
Expand Down Expand Up @@ -375,6 +378,7 @@ ace.config.setModuleLoader('ace/snippets/mips', () => import('./src-noconflict/s
ace.config.setModuleLoader('ace/snippets/mixal', () => import('./src-noconflict/snippets/mixal.js'));
ace.config.setModuleLoader('ace/snippets/mushcode', () => import('./src-noconflict/snippets/mushcode.js'));
ace.config.setModuleLoader('ace/snippets/mysql', () => import('./src-noconflict/snippets/mysql.js'));
ace.config.setModuleLoader('ace/snippets/nasal', () => import('./src-noconflict/snippets/nasal.js'));
ace.config.setModuleLoader('ace/snippets/nginx', () => import('./src-noconflict/snippets/nginx.js'));
ace.config.setModuleLoader('ace/snippets/nim', () => import('./src-noconflict/snippets/nim.js'));
ace.config.setModuleLoader('ace/snippets/nix', () => import('./src-noconflict/snippets/nix.js'));
Expand Down
2 changes: 1 addition & 1 deletion package.json
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
"name": "ace-builds",
"main": "./src-noconflict/ace.js",
"typings": "ace.d.ts",
"version": "1.30.0",
"version": "1.31.0",
"description": "Ace (Ajax.org Cloud9 Editor)",
"scripts": {
"test": "echo \"Error: no test specified\" && exit 1"
Expand Down
2 changes: 1 addition & 1 deletion src-min-noconflict/ace.js

Large diffs are not rendered by default.

2 changes: 1 addition & 1 deletion src-min-noconflict/ext-inline_autocomplete.js

Large diffs are not rendered by default.

2 changes: 1 addition & 1 deletion src-min-noconflict/ext-language_tools.js

Large diffs are not rendered by default.

2 changes: 1 addition & 1 deletion src-min-noconflict/ext-modelist.js

Some generated files are not rendered by default. Learn more about how customized files appear on GitHub.

Loading

0 comments on commit 2eb6b07

Please sign in to comment.