Skip to content

Commit

Permalink
fix test cases
Browse files Browse the repository at this point in the history
  • Loading branch information
pythonberg1997 committed Sep 11, 2024
1 parent e71b3eb commit d6c1eb5
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions crates/stages/stages/src/test_utils/test_db.rs
Original file line number Diff line number Diff line change
Expand Up @@ -185,11 +185,11 @@ impl TestStageDB {
let segment_header = writer.user_header();
if segment_header.block_end().is_none() && segment_header.expected_block_start() == 0 {
for block_number in 0..block_number {
writer.append_sidecars(Default::default(), block_number, &B256::ZERO)?;
writer.append_sidecars(&Default::default(), block_number, &B256::ZERO)?;
}
}

writer.append_sidecars(Default::default(), block_number, &hash)?;
writer.append_sidecars(&Default::default(), block_number, &hash)?;
} else {
tx.put::<tables::Sidecars>(block_number, Default::default())?;
}
Expand Down

0 comments on commit d6c1eb5

Please sign in to comment.