Skip to content

Commit

Permalink
Adding VHDL
Browse files Browse the repository at this point in the history
  • Loading branch information
kelu124 committed Apr 1, 2018
1 parent 98b54a0 commit 56c262d
Show file tree
Hide file tree
Showing 2 changed files with 1,082 additions and 0 deletions.
1 change: 1 addition & 0 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -18,6 +18,7 @@ Non destructive testing and imaging ultrasound modalities have been around since

* The [source files -- the upverter initial design are here](/source/)
* The [production files are here](/build/)
* The [VHDL files](/software/un0rick_v0.1.vhd)

# Resources

Expand Down
Loading

0 comments on commit 56c262d

Please sign in to comment.