Skip to content

Commit

Permalink
Adding new experiments
Browse files Browse the repository at this point in the history
  • Loading branch information
kelu124 committed Jun 26, 2018
1 parent c957f29 commit 6aa359b
Show file tree
Hide file tree
Showing 10 changed files with 33,309 additions and 0 deletions.
16,640 changes: 16,640 additions & 0 deletions images/20180403/p_A-130us-0-VGA@0x22-spimode1-64msps.csv

Large diffs are not rendered by default.

Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
16,640 changes: 16,640 additions & 0 deletions images/20180403/p_A-130us-1-VGA@0x22-spimode1-64msps.csv

Large diffs are not rendered by default.

Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file added images/20180516/20180516a-1.jpg
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
1 change: 1 addition & 0 deletions images/20180516/20180516a-1.json

Large diffs are not rendered by default.

Binary file added images/20180516/20180516a-3.jpg
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
1 change: 1 addition & 0 deletions images/20180516/20180516a-3.json

Large diffs are not rendered by default.

Binary file added images/un0desc.png
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
27 changes: 27 additions & 0 deletions software/un0rick_v0.1.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,18 @@
-- Project Name: MATTY
-- Target Devices: iCE40-HX4K_TQ144


-- Morse: ..- -. ----- .-. .. -.-. -.-


-- _______ __ __
-- .--.--. .-----. | _ | .----. |__| .----. | |--.
-- | | | | | |. | | | _| | | | __| | <
-- |_____| |__|__| |. | | |__| |__| |____| |__|__|
-- |: 1 |
-- |::.. . |
-- `-------'

--

-- Revision: 1
Expand Down Expand Up @@ -932,6 +944,10 @@ end process;

----------------------end ADC--------------------

-- ___ _ __ __ _ _ ___ ___
-- | _ \ /_\ | \/ | __ _ _ _ __| | /_\ | \ / __|
-- | / / _ \ | |\/| | / _` | | ' \ / _` | / _ \ | |) | | (__
-- |_|_\ /_/ \_\ |_| |_| \__,_| |_||_| \__,_| /_/ \_\ |___/ \___|

----------------------RAM&ADC--------------------

Expand Down Expand Up @@ -1023,6 +1039,11 @@ sRAM_nWE<= sADC_clk when sACQtime = '1' else '1';

----------------------end RAM--------------------

-- ___ _ _ _ _ _
-- | _ ) _ _ | |_ | |_ ___ _ _ ___ | |_ ___ __ _ __ _ | | ___ _ __ ___ __| | ___
-- | _ \ | || | | _| | _| / _ \ | ' \ (_-< | _| / _ \ / _` | / _` | | | / -_) | ' \ / _ \ / _` | / -_)
-- |___/ \_,_| \__| \__| \___/ |_||_| /__/ \__| \___/ \__, | \__, | |_| \___| |_|_|_| \___/ \__,_| \___|
-- |___/ |___/
----------------------Buttons toggle mode--------------------

process(pll_clk64, reset)
Expand Down Expand Up @@ -1054,6 +1075,12 @@ end process;

----------------------end Button--------------------

-- _ _
-- ___ _ _ | |_ _ __ _ _ | |_ ___
-- / _ \ | || | | _| | '_ \ | || | | _| (_-<
-- \___/ \_,_| \__| | .__/ \_,_| \__| /__/
-- |_|


----------------------outputs---------------------

Expand Down

0 comments on commit 6aa359b

Please sign in to comment.