Skip to content

Commit

Permalink
add type annotation
Browse files Browse the repository at this point in the history
  • Loading branch information
rahulk29 committed Oct 14, 2024
1 parent 55d3ee0 commit bd31929
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion src/blocks/sram/testbench/mod.rs
Original file line number Diff line number Diff line change
Expand Up @@ -775,7 +775,7 @@ impl Testbench for SramTestbench {
)
.chain((0..self.params.sram.cols()).flat_map(|i| [TbSignals::Bl(i), TbSignals::Br(i)]))
.map(|signal| self.params.sram_signal_path(signal))
.collect();
.collect::<Vec<_>>();
// ctx.save(Save::Signals(signals));
ctx.save(Save::All);

Expand Down

0 comments on commit bd31929

Please sign in to comment.