Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add MDS stats #24

Merged
merged 4 commits into from
May 14, 2024
Merged

Add MDS stats #24

merged 4 commits into from
May 14, 2024

Conversation

RDruon
Copy link
Contributor

@RDruon RDruon commented Jul 20, 2023

Fix EX-7930

# HELP lustre_mds_mdt_fld_stats MDS mdt_fld stats
# TYPE lustre_mds_mdt_fld_stats gauge
lustre_mds_mdt_fld_stats{operation="req_waittime",units="usec"} 39 0
lustre_mds_mdt_fld_stats{operation="req_qdepth",units="reqs"} 39 0
lustre_mds_mdt_fld_stats{operation="req_active",units="reqs"} 39 0
lustre_mds_mdt_fld_stats{operation="req_timeout",units="sec"} 39 0
lustre_mds_mdt_fld_stats{operation="reqbuf_avail",units="bufs"} 88 0
lustre_mds_mdt_fld_stats{operation="fld_query",units="usec"} 35 0
lustre_mds_mdt_fld_stats{operation="fld_read",units="usec"} 4 0

# HELP lustre_mds_mdt_out_stats MDS mdt_out stats
# TYPE lustre_mds_mdt_out_stats gauge
lustre_mds_mdt_out_stats{operation="req_waittime",units="usec"} 40673 0
lustre_mds_mdt_out_stats{operation="req_qdepth",units="reqs"} 40673 0
lustre_mds_mdt_out_stats{operation="req_active",units="reqs"} 40673 0
lustre_mds_mdt_out_stats{operation="req_timeout",units="sec"} 40673 0
lustre_mds_mdt_out_stats{operation="reqbuf_avail",units="bufs"} 83413 0
lustre_mds_mdt_out_stats{operation="ldlm_ibits_enqueue",units="reqs"} 1 0
lustre_mds_mdt_out_stats{operation="mds_statfs",units="usec"} 40642 0
lustre_mds_mdt_out_stats{operation="obd_ping",units="usec"} 1 0
lustre_mds_mdt_out_stats{operation="out_update",units="usec"} 29 0

# HELP lustre_mds_mdt_readpage_stats MDS mdt_readpage stats
# TYPE lustre_mds_mdt_readpage_stats gauge
lustre_mds_mdt_readpage_stats{operation="req_waittime",units="usec"} 7640 0
lustre_mds_mdt_readpage_stats{operation="req_qdepth",units="reqs"} 7640 0
lustre_mds_mdt_readpage_stats{operation="req_active",units="reqs"} 7640 0
lustre_mds_mdt_readpage_stats{operation="req_timeout",units="sec"} 7640 0
lustre_mds_mdt_readpage_stats{operation="reqbuf_avail",units="bufs"} 15656 0
lustre_mds_mdt_readpage_stats{operation="mds_getattr",units="usec"} 3 0
lustre_mds_mdt_readpage_stats{operation="mds_close",units="usec"} 7632 0
lustre_mds_mdt_readpage_stats{operation="mds_readpage",units="usec"} 5 0

# HELP lustre_mds_mdt_seqm_stats MDS mdt_seqm stats
# TYPE lustre_mds_mdt_seqm_stats gauge
lustre_mds_mdt_seqm_stats{operation="req_waittime",units="usec"} 5 0
lustre_mds_mdt_seqm_stats{operation="req_qdepth",units="reqs"} 5 0
lustre_mds_mdt_seqm_stats{operation="req_active",units="reqs"} 5 0
lustre_mds_mdt_seqm_stats{operation="req_timeout",units="sec"} 5 0
lustre_mds_mdt_seqm_stats{operation="reqbuf_avail",units="bufs"} 14 0
lustre_mds_mdt_seqm_stats{operation="seq_query",units="usec"} 5 0

# HELP lustre_mds_mdt_seqs_stats MDS mdt_seqs stats
# TYPE lustre_mds_mdt_seqs_stats gauge
lustre_mds_mdt_seqs_stats{operation="req_waittime",units="usec"} 8 0
lustre_mds_mdt_seqs_stats{operation="req_qdepth",units="reqs"} 8 0
lustre_mds_mdt_seqs_stats{operation="req_active",units="reqs"} 8 0
lustre_mds_mdt_seqs_stats{operation="req_timeout",units="sec"} 8 0
lustre_mds_mdt_seqs_stats{operation="reqbuf_avail",units="bufs"} 18 0
lustre_mds_mdt_seqs_stats{operation="seq_query",units="usec"} 8 0

# HELP lustre_mds_mdt_stats MDS mdt stats
# TYPE lustre_mds_mdt_stats gauge
lustre_mds_mdt_stats{operation="req_waittime",units="usec"} 110689 0
lustre_mds_mdt_stats{operation="req_qdepth",units="reqs"} 110689 0
lustre_mds_mdt_stats{operation="req_active",units="reqs"} 110689 0
lustre_mds_mdt_stats{operation="req_timeout",units="sec"} 110689 0
lustre_mds_mdt_stats{operation="reqbuf_avail",units="bufs"} 250496 0
lustre_mds_mdt_stats{operation="ldlm_ibits_enqueue",units="reqs"} 21296 0
lustre_mds_mdt_stats{operation="mds_reint_setattr",units="reqs"} 228 0
lustre_mds_mdt_stats{operation="mds_reint_create",units="reqs"} 9 0
lustre_mds_mdt_stats{operation="mds_reint_unlink",units="reqs"} 7 0
lustre_mds_mdt_stats{operation="mds_reint_open",units="reqs"} 7641 0
lustre_mds_mdt_stats{operation="ost_set_info",units="usec"} 130 0
lustre_mds_mdt_stats{operation="mds_connect",units="usec"} 38 0
lustre_mds_mdt_stats{operation="mds_disconnect",units="usec"} 2 0
lustre_mds_mdt_stats{operation="mds_get_root",units="usec"} 3 0
lustre_mds_mdt_stats{operation="mds_statfs",units="usec"} 15 0
lustre_mds_mdt_stats{operation="mds_sync",units="usec"} 224 0
lustre_mds_mdt_stats{operation="obd_ping",units="usec"} 88737 0

@RDruon RDruon self-assigned this Jul 20, 2023
@RDruon RDruon force-pushed the rdruon/EX-7930 branch 2 times, most recently from 121fdd9 to 156838f Compare July 21, 2023 07:45
@jgrund
Copy link
Member

jgrund commented May 13, 2024

@RDruon @breuhan Does this still need to be in draft?

@RDruon
Copy link
Contributor Author

RDruon commented May 13, 2024

Looking

Copy link

codecov bot commented May 13, 2024

Codecov Report

All modified and coverable lines are covered by tests ✅

Project coverage is 93.00%. Comparing base (3455bd2) to head (06d112d).

Additional details and impacted files
@@            Coverage Diff             @@
##             main      #24      +/-   ##
==========================================
+ Coverage   92.52%   93.00%   +0.48%     
==========================================
  Files          10       10              
  Lines        1164     1201      +37     
  Branches     1164     1201      +37     
==========================================
+ Hits         1077     1117      +40     
+ Misses         79       76       -3     
  Partials        8        8              

☔ View full report in Codecov by Sentry.
📢 Have feedback on the report? Share it here.

@RDruon RDruon marked this pull request as ready for review May 13, 2024 15:47
@RDruon RDruon requested a review from jgrund as a code owner May 13, 2024 15:47
@jgrund
Copy link
Member

jgrund commented May 13, 2024

Needs more coverage

@RDruon
Copy link
Contributor Author

RDruon commented May 13, 2024

Needs more coverage

The missing coverage is coming from the fixture. I am looking to get some stats on the following stats:

  • lctl get_param mds.MDS.mdt_setattr.stats
  • lctl get_param mds.MDS.mdt_out.stats

I tried to use DoM without success (lfs setstripe -E 1M -L mdt -E EOF /lustre/fs/client/test)

@RDruon
Copy link
Contributor Author

RDruon commented May 13, 2024

@jgrund please review

@jgrund jgrund merged commit 9118f4e into main May 14, 2024
12 checks passed
@jgrund jgrund deleted the rdruon/EX-7930 branch May 14, 2024 13:13
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants