Skip to content

Commit

Permalink
Update param_test.py
Browse files Browse the repository at this point in the history
Change simulator to verilator.
  • Loading branch information
zhenghuama authored Jul 19, 2024
1 parent 3e75fda commit be17fb6
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions run/param_test.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,8 @@
from deepsocflow import Bundle, Hardware, QModel, QInput

# Simulator: xsim on windows, verilator otherwise
(SIM, SIM_PATH) = ('xsim', "/opt/Xilinx/Vivado/2022.2/bin/")
#(SIM, SIM_PATH) = ('verilator', "")
#(SIM, SIM_PATH) = ('xsim', "/opt/Xilinx/Vivado/2022.2/bin/")
(SIM, SIM_PATH) = ('verilator', "")
def product_dict(**kwargs):
for instance in itertools.product(*(kwargs.values())):
yield dict(zip(kwargs.keys(), instance))
Expand Down

0 comments on commit be17fb6

Please sign in to comment.