Skip to content

Commit

Permalink
Update 02_ID_EX_Latch.md
Browse files Browse the repository at this point in the history
  • Loading branch information
ShinyMiraidon authored Sep 18, 2023
1 parent 7443972 commit 1c68123
Showing 1 changed file with 3 additions and 1 deletion.
4 changes: 3 additions & 1 deletion Documentation/Module-Docs/02_ID_EX_Latch.md
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ Note: The inputs and outputs for this module should be made into an interface
|:---|:---:|
|```clk```|1-bit|
|```rstn```|1-bit|
|```a_sel```|1-bit|
|```a_sel```|2-bit|
|```b_sel```|2-bit|
|```nranch_taken_in```|1-bit|
|```imm```|32-bits|
Expand Down Expand Up @@ -59,13 +59,15 @@ Note: The inputs and outputs for this module should be made into an interface
|---|---|
|```a_sel == 0```|```a = rs1d```|
|```a_sel == 1```|```a = pc```|
|```a_sel == 2```|```a = imm```|
- ```b_sel```

|State|Output|
|---|---|
|```b_sel == 0```|```b = rs2d_in```|
|```b_sel == 1```|```b = imm```|
|```b_sel == 2```|```b = 4```|
|```b_sel == 3```|```b = 12```|

### Asynchronous active low reset
- Register values reset to 0

0 comments on commit 1c68123

Please sign in to comment.