Skip to content

Commit

Permalink
Update 02_ID_EX_Latch.md
Browse files Browse the repository at this point in the history
  • Loading branch information
ShinyMiraidon authored Sep 20, 2023
1 parent 089599b commit 6fb25ec
Showing 1 changed file with 6 additions and 0 deletions.
6 changes: 6 additions & 0 deletions Documentation/Module-Docs/02_ID_EX_Latch.md
Original file line number Diff line number Diff line change
Expand Up @@ -16,16 +16,20 @@ Note: The inputs and outputs for this module should be made into an interface
|```rstn```|1-bit|
|```a_sel```|2-bit|
|```b_sel```|2-bit|
|```nranch_taken_in```|1-bit|
|```imm```|32-bits|
|```pc_in```|32-bits|
|```rdn_in```|5-bits|
|```rs1d```|32-bits|
|```rs2d_in```|32-bits|
|```branch_addr_in```|32-bits|

## Outputs
|Name|Bits wide|
|:---|:---:|
|```branch_taken```|1-bit|
|```pc```|32-bits|
|```branch_addr```|32-bits|
|```rdn```|5-bits|
|```a```|32-bits|
|```b```|32-bits|
Expand All @@ -45,7 +49,9 @@ Note: The inputs and outputs for this module should be made into an interface
- 1-bit branch_taken register
### On posedge clk
- ```rdn = rdn_in```
- ```branch_taken = branch_taken_in```
- ```rs2d = rs2d_in```
- ```branch_addr = branch_addr_in```
- ```pc = pc_in```
- ```a```

Expand Down

0 comments on commit 6fb25ec

Please sign in to comment.