Skip to content

Commit

Permalink
top/afc_v3/vivado: fix aux clock constraint to RF/8 = 62.5MHz
Browse files Browse the repository at this point in the history
  • Loading branch information
lerwys committed Jul 13, 2020
1 parent e037a0b commit 39d53ca
Show file tree
Hide file tree
Showing 3 changed files with 3 additions and 3 deletions.
2 changes: 1 addition & 1 deletion hdl/top/afc_v3/vivado/dbe_bpm/dbe_bpm.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -938,7 +938,7 @@ set_property IDELAY_VALUE 26 [get_cells -hier -filter {NAME =~ *cmp2_xwb_fmc130m
create_clock -period 8.000 -name sys_clk_p_i [get_ports sys_clk_p_i]

# 64.440 MHz AMC TCLKB input clock
create_clock -period 14.400 -name aux_clk_p_i [get_ports aux_clk_p_i]
create_clock -period 16.000 -name aux_clk_p_i [get_ports aux_clk_p_i]

## 100 MHz wihsbone clock
create_generated_clock -name clk_sys [get_pins -hier -filter {NAME =~ *cmp_sys_pll_inst/cmp_sys_pll/CLKOUT0}]
Expand Down
2 changes: 1 addition & 1 deletion hdl/top/afc_v3/vivado/dbe_bpm2/dbe_bpm2.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -1057,7 +1057,7 @@ set_property IDELAY_VALUE 0 [get_cells -hier -filter {NAME =~ *cmp2_xwb_fmc250m_
create_clock -period 8.000 -name sys_clk_p_i [get_ports sys_clk_p_i]

# 64.440 MHz AMC TCLKB input clock
create_clock -period 14.400 -name aux_clk_p_i [get_ports aux_clk_p_i]
create_clock -period 16.000 -name aux_clk_p_i [get_ports aux_clk_p_i]

## 100 MHz wihsbone clock
create_generated_clock -name clk_sys [get_pins -hier -filter {NAME =~ *cmp_sys_pll_inst/cmp_sys_pll/CLKOUT0}]
Expand Down
2 changes: 1 addition & 1 deletion hdl/top/afc_v3/vivado/dbe_pbpm/dbe_pbpm.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -405,7 +405,7 @@ set_property PACKAGE_PIN C18 [get_ports {pci_exp_rxn_i[3]}]
create_clock -period 8.000 -name sys_clk_p_i [get_ports sys_clk_p_i]

# 64.440 MHz AMC TCLKB input clock
create_clock -period 14.400 -name aux_clk_p_i [get_ports aux_clk_p_i]
create_clock -period 16.000 -name aux_clk_p_i [get_ports aux_clk_p_i]

## 100 MHz wihsbone clock
create_generated_clock -name clk_sys [get_pins -hier -filter {NAME =~ *cmp_sys_pll_inst/cmp_sys_pll/CLKOUT0}]
Expand Down

0 comments on commit 39d53ca

Please sign in to comment.